ISE com VHDL estrutural

Tamanho: px
Começar a partir da página:

Download "ISE com VHDL estrutural"

Transcrição

1 UFRJ - DEL EEL Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário Vaz mariovaz@poli.ufrj.br UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 1-10

2 2 o trabalho: VHDL Estrutural Entidade Base_Tempo clk dcm1 CLKIN_IN CLKFX_OUT clk_int clk_in clk_div div_out LED osciloscopio Esse sistema é um gerador de frequências acima de 50MHz, construído como módulo VHDL cujos únicos componentes são os seguintes módulos: clk_div, divisor de frequência já implementado em VHDL comportamental, e portanto parte da nossa biblioteca. dcm1, um gerenciador de clock que é um circuito especial da Spartan3AN, que será incluído no projeto com auxílio do Core Generator, usado no ISE para incluir componentes da FPGA ou módulos de biblioteca no sistema projetado. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 2-10

3 A descrição de sistema mais simples: architecture em VHDL Estrutural Structural architecture = modelo estrutural O sistema é apresentado como uma composição de subsistemas, componentes, ligados por sinais. Tal como para testbench, o arquivo contem: Entity port declaration: sinais das conexões externas signal declarations: sinais das conexões internas component declarations e instances entidades/arquiteturas definidas previamente port maps nas component instances Conecta via signals as portas dos módulos / instâncias wait statements atrasos para fins de simulação UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 3-10

4 Base_tempo em VHDL Estrutural Cada componente da arquitetura estrutural é definido ou em em uma library ou em uma entity implementada em VHDL comportamental ou estrutural. O clk_div deve ser colocado no projeto como módulo VHDL único, usando o código já existente, compilado e testado, devendo apresentar o mesmo resultado do trabalho anterior. O DCM será colocado no sistema por um sub-programa do ISE, o Core Generator, como o módulo dcm1.vhd, da biblioteca UNISIM da Xilinx, em uma descrição VHDL estrutural. O procedimento básico se encontra no Tutorial do ISE, UG695, a partir da página 29. E também no Tutorial dos Alunos, mas neste faltam alguns passos. Siga ambos para construir o sistema no Starter Kit, e também o seu próprio tutorial,. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 4-10

5 Entity base_tempo Neste segundo trabalho teremos uma entidade base_tempo que terá como componentes clk_div, feito anteriormente, ao ligado a um componente a ser criado pelo ISE, o dcm1, que e um circuito da FPGA, dado na biblioteca, UNISIM. As declarações de library e entity são as seguintes: library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; Use UNISIM.Vcomponents.all; entity base_tempo is generic ( n: integer := 5); port ( clk : in std_logic; LED, Osc, lckd : out std_logic ); end entity ; UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 5-10

6 A architecture inicial de base_tempo deve ter apenas clk_div, sem DCM Seguindo os tutoriais do ISE, UG695, e o Tutorial de Alunos, sintetize e simule o clk_div em forma estrutural seguinte, antes de implementar o DCM: architecture estrutural of base_tempo is component clk_div is generic (n : integer := 4); Port ( clk_in : in std_logic; Div, div2: out std_logic ); end; aqui coloque as linhas dadas pelo ISE: COMPONENT dcm1... Declare sinais e componentes entre architecture e begin -- END COMPONENT; signal clk_int : std_logic; begin clk_divider : clk_div port map (clk_int, LED, Osc); aqui coloque as linhas dadas pelo ISE: Inst_dcm1: dcm1... end estrutural; Instâncias dos componentes, port map com sinais de conexão UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 6-10

7 Terceiro Trabalho: circuitos debouncer O cronômetro / temporizador no tutorial do ISE UG695 usa chaves elétricas de acionamento mecânico, onde o contacto elétrico pode apresentar transiente oscilatório, que deve ser eliminado em certas aplicações de controle eletrônico envolvendo contagem dos pulsos gerados pelas chaves, e portanto desnecessários em reset ou set.. Os circuitos que realizam esta função são denominados debouncer, e consistem basicamente de um circuito de registro que, durante o tempo previsto para duração do transiente geram um único pulso em resposta ao acionamento da chave. Um circuito analógico equivalente seria um monoestável, ou um integrador, ambos com constante de tempo da ordem de uma ou mais dezenas de mili-segundos. Implemente como duas arquiteturas em separado e analise os módulos debouncer dados usando conceitos de máquina de estado, estabeleça as limitações de cada circuito, crie outro módulo que supere uma das limitações, e teste comparativamente o desempenho dos dois códigos VHDL dados a seguir usando o comando config e o test bench. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 7-10

8 Debouncer de chaves mecânicas com contador de tempo de pressionamento LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY DEBOUNCE IS PORT ( Clk: IN STD_LOGIC; Key: IN STD_LOGIC; pulse: OUT STD_LOGIC); END DEBOUNCE; ARCHITECTURE contador OF DEBOUNCE IS SIGNAL cnt : STD_LOGIC_VECTOR (1 DOWNTO 0); BEGIN PROCESS (Clk) BEGIN IF Key = '1' THEN cnt <= "00"; ELSIF (clk'event AND Clk = '1') THEN IF (cnt /= "11") THEN cnt <= cnt + 1; END IF; END IF; IF (cnt = "10") AND (Key = '0') THEN pulse <= '1'; ELSE pulse <= '0'; END IF; END PROCESS; END contador; UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 8-10

9 Debouncer para chaves mecânicas com registrador de deslocamento LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY DEBOUNCE IS PORT ( Clk: IN STD_LOGIC; Key: IN STD_LOGIC; pulse: OUT STD_LOGIC); END DEBOUNCE; ARCHITECTURE shift register OF debounce IS SIGNAL SHIFT_KEY : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN -- Debounce clock should be approximately 10ms or 100Hz PROCESS BEGIN WAIT UNTIL Clk'EVENT AND Clk = '1'; -- Use a shift register to filter switch contact bounce SHIFT_KEY(2 DOWNTO 0) <= SHIFT_KEY(3 DOWNTO 1); SHIFT_KEY(3) <= NOT KEY; IF SHIFT_KEY(3 DOWNTO 0)="0000" THEN PULSE <= '0'; ELSE PULSE <= '1'; END IF; END PROCESS; END shift register; UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 9-10

10 Referências para aprendizado de VHDL e ISE ANSI/IEEE Std IEEE Standard VHDL Language Reference Manual VHDL Reference Guide Xilinx VHDL no contexto da Xilinx ver Ver em especial: UG331 - Spartan-3 FPGA User Guide ( V.1.8, 11 MB ) [PDF] UG332 Spartan-3 Configuration User Guide ( V.1.6, 10 MB ) [PDF] UG334 - Spartan3AN Starter Kit Board User Guide ( V.1.1, 5 MB ) [PDF] UG607 - Spartan-3 Libraries Guide for HDL ( V.14.7, 6 MB ) [PDF] UG695 - ISE In-Depth Tutorial ( V.14.1, 5MB ) [PDF] + wtut_vhd.zip Livros: - FPGA Prototyping by VHDL Examples, Xilinx SpartanTM-3 Version - P.P.Chu, Wiley Interscience 2008 (tem uma cópia no laboratório). - Circuit Design with VHDL, Volnei A. Pedroni, MIT Press, The Designer s Guide to VHDL, P.Ashenden, J.Lewis, Elsevier, UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 10-10

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

CIRCUITO DE AMOSTRAGEM E RETENÇÃO

CIRCUITO DE AMOSTRAGEM E RETENÇÃO Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica CIRCUITO DE AMOSTRAGEM E RETENÇÃO Laboratório I Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes,

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Prof. Edson Lemos Horta Fevereiro 2008 1 Introdução aos Circuitos Integrados para Aplicações Específicas (Application

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

Prototipação em PLDs

Prototipação em PLDs Universidade do Vale do Rio dos Sinos - UNISINOS Prototipação em PLDs Introdução ao VHDL Autor: Prof. Rodrigo Marques de Figueiredo Agenda Introdução; - Histórico; - Características do VHDL; - Aplicações

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

PTC2528 Laboratório de Comunicações: Projeto de Formatura. Orientador Prof. Dr. Cristiano Magalhães Panazio

PTC2528 Laboratório de Comunicações: Projeto de Formatura. Orientador Prof. Dr. Cristiano Magalhães Panazio PTC2528 Laboratório de Comunicações: Projeto de Formatura Receptor SIC Successive Interference Cancellation Cancelamento Sucessivo das Interferências Orientador Prof. Dr. Cristiano Magalhães Panazio Vinicius

Leia mais

Semana de Engenharia

Semana de Engenharia Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof.. Daniel Barros Júnior (dbarros@ee.pucrs.br) Bolsista: Dárcio Prestes (darcio(

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica Digital para Instrumentação Objetivo

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 - Compilando VHDL com o ALTERA MAX

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

SIMULAÇÃO VHDL DO PROCESSADOR MRStd

SIMULAÇÃO VHDL DO PROCESSADOR MRStd SIMULAÇÃO VHDL DO PROCESSADOR MRStd Este tutorial visa iniciar os alunos à simulação de um processador que dá suporte a um subconjunto amplo de instruções da arquitetura MIPS, denominado MRStd. Este processador

Leia mais

DESCRIÇÃO E SÍNTESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Gomes**, Ricardo Teixeira*** e Nilton Itiro Morimoto.

DESCRIÇÃO E SÍNTESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Gomes**, Ricardo Teixeira*** e Nilton Itiro Morimoto. DESCRIÇÃO E SÍNESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Goes**, Ricardo eixeira*** e Nilton Itiro Morioto.**** RESUMO Neste artigo apresentaos o projeto, descrição e ipleentação

Leia mais

Eletrônica Digital para Instrumentação. Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama

Eletrônica Digital para Instrumentação. Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama G15 Eletrônica Digital para Instrumentação Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Figura 1: VHDL - Exemplo de uso do construto generic: componente mult_se_neg com valor default de n=16 bits.

Figura 1: VHDL - Exemplo de uso do construto generic: componente mult_se_neg com valor default de n=16 bits. OBJETIVOS: Projeto de componentes em VHDL. Projeto e simulação de uma biblioteca de componentes em VHDL no ambiente Quartus II Altera para construção de um caminho de dados (ou bloco operacional) e de

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

MINICURSO: TÓPICOS EM VHDL. Prof. Leonardo Augusto Casillo

MINICURSO: TÓPICOS EM VHDL. Prof. Leonardo Augusto Casillo MINICURSO: TÓPICOS EM VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description Language Linguagem de Descrição de Hardware com ênfase em Circuitos

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA

UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA SISTEMA DIDÁTICO PARA A SIMULAÇÃO DE FUNÇÕES DE TRANSFERÊNCIA

Leia mais

Tutorial do Max Plus II Baseline

Tutorial do Max Plus II Baseline Tutorial do Max Plus II Baseline Thiago Valentin de Oliveira 10/08/2010 1 Baixando, Licenciando e Instalando o Software O primeiro passo é adquirir o software Max Plus II com uma licença. Isso pode ser

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Modelagem de Sistemas com VHDL

Modelagem de Sistemas com VHDL Modelagem de Sistemas com VHDL A abstração empregada por projetistas de sistemas digitais pode ser expressa em dois domínios: No domínio estrutural, um componente é descrito em termos de um conjunto de

Leia mais

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA Paulo Alexandre Martin 1 ; Vanderlei Cunha Parro 2 12 Escola de Engenharia Mauá, Engenharia Elétrica Praça

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans 20/janeiro/2016 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

1 íntese ais S igit antos S s 05 L D do 20 V - para os ieira antos S dos el V ieira VHD igu iguel V ircuit M M agem José C - P José C A S ingu de L

1 íntese ais S igit antos S s 05 L D do 20 V - para os ieira antos S dos el V ieira VHD igu iguel V ircuit M M agem José C - P José C A S ingu de L VHDL Linguagem para Síntese de Circuitos Digitais José Miguel Vieira dos Santos SACP - José Miguel Vieira dos Santos - V2005 1 VHDL Linguagem de programação que permite: Sintetizar descrições de circuitos

Leia mais

Introdução. Display de sete segmentos

Introdução. Display de sete segmentos Código do Laboratório: AP08 Data: 30/04/2009 Nomes: Bruno Jurkovski Cartão número 172865 Marcos Vinicius Cavinato Cartão número 171774 Turma D Introdução A aula prática de 30/04/2009 consiste das seguintes

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA REVISTA DO DETUA, VOL. 4, Nº 5, SETEMBRO 2005 626 Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA Iouliia Skliarova Resumo Este artigo descreve a implementação de circuitos

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

Nivel de Linguagem de Montagem (Assembly)

Nivel de Linguagem de Montagem (Assembly) Nivel de Linguagem de Montagem (Assembly) Orlando Loques setembro 2006 Referências: Structured Computer Organization (capítulo 7), A.S. Tanenbaum, (c) 2006 Pearson Education Inc Computer Organization and

Leia mais

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto 2º Semestre/2007 Fabbryccio A. C. M. Cardoso Marcelo Augusto Costa Fernandes Prof. Responsável: Dalton S. Arantes

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A FLIP FLOPS M-1113A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Objetivos... 2 2.

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

2 Segmentação de imagens e Componentes conexas

2 Segmentação de imagens e Componentes conexas Universidade Tecnológica Federal do Paraná (UTFPR) Departamento Acadêmico de Informática (DAINF) Algoritmos II Professor: Alex Kutzke (alexk@dainf.ct.utfpr.edu.br) Especificação do Primeiro Trabalho Prático

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Tutorial de Computação PWM v2010.08

Tutorial de Computação PWM v2010.08 Tutorial de Computação PWM v2010.08 Linha de Equipamentos MEC Desenvolvidos por: Maxwell Bohr Instrumentação Eletrônica Ltda. Rua Porto Alegre, 212 Londrina PR Brasil http://www.maxwellbohr.com.br 1 Introdução

Leia mais

LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS

LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS Campus de Ilha Solteira PROGRAMA DE PÓS-GRADUAÇÃO EM ENGENHARIA ELÉTRICA LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS RENATO CARDOSO DOS SANTOS Orientador:

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

CONHECIMENTOS ESPECÍFICOS

CONHECIMENTOS ESPECÍFICOS CONHECIMENTOS ESPECÍFICOS» CONTROLES E PROCESSOS INDUSTRIAIS (PERFIL 5) «21. Um transistor NMOS, para operar na região de saturação, deve obedecer às seguintes condições: I. A diferença entre a tensão

Leia mais

Sistemas Digitais. Sistemas Digitais III - Ementa

Sistemas Digitais. Sistemas Digitais III - Ementa Sistemas Digitais Sistemas Digitais I - Sistemas Combinatórios As saídas atuais são determinadas diretamente a partir das entradas atuais. Portas Lógicas. Sistemas Digitais II - Sistemas Seqüenciais As

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Laboratório de Eletrônica Digital

Laboratório de Eletrônica Digital Laboratório de Eletrônica Digital Controle de Lâmpadas Three-Way Experiência N o 01 Prof. Ivan Sebastião de Souza e Silva Experiência N o 01 Controle de Lâmpadas Three-Way Experiências de Eletrônica Digital

Leia mais

Lista de Exercícios 1

Lista de Exercícios 1 Conceitos envolvidos: a) Memória de Dados (interna e externa) b) Memória de Programa (interna e externa) c) Operações aritméticas e lógicas d) Portas e) Endereçamento a Bit f) Contadores e Temporizadores

Leia mais

VHDL ENTIDADES DE PROJETO

VHDL ENTIDADES DE PROJETO ENTIDADES DE PROJETO Uma entidade de projeto é o módulo básico de um projeto em. Pode representar desde uma porta lógica até um circuito complexo. É composta de duas partes: Declaração da entidade Arquitetura

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

Mestrado em Engenharia Electrotécnica e de Computadores

Mestrado em Engenharia Electrotécnica e de Computadores Mestrado em Engenharia Electrotécnica e de Computadores Projecto de Circuitos e Sistemas Digitais (2000/01) Gerador digital de tons DTMF (Dual-Tone Multi-Frequency) para codificação de dígitos na linha

Leia mais

Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios

Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios O Método Intuitivo de elaboração de circuitos: As técnicas de elaboração de circuitos eletropneumáticos fazem parte

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

STV 8 SET 2008 2. uma polaridade de sincronismo negativa, com os pulsos de sincronismo na posição para baixo, como mostrado na figura abaixo

STV 8 SET 2008 2. uma polaridade de sincronismo negativa, com os pulsos de sincronismo na posição para baixo, como mostrado na figura abaixo STV 8 SET 2008 1 ANÁLISE DOS SINAIS DE VÍDEO as três partes do sinal composto de vídeo, ilustradas na figura abaixo, são: 1 o sinal da câmera correspondendo às variações de luz na cena 2 os pulsos de sincronismo

Leia mais

Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura

Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura GUILHERME DE OLIVEIRA FIORELLI Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura Trabalho de Conclusão de Curso

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel

Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel Rui Costa, João Limas, Inês Oliveira Resumo - Este artigo descreve uma calculadora baseada numa FPGA (Field Programmable Gate Array).

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555

INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555 ELE-59 Circuitos de Chaveamento Prof.: Alexis Fabrício Tinoco S. INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555 1. OBJETIVOS: Os objetivos

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

ANÁLISE DE CIRCUITOS I ( AULA 03)

ANÁLISE DE CIRCUITOS I ( AULA 03) ANÁLISE DE CIRCUITOS I ( AULA 03) 1.0 O CAPACÍMETRO É o instrumento usado para medir o valor dos capacitores comuns e eletrolíticos. Há dois tipos de capacímetro: o analógico (de ponteiro) e o digital

Leia mais

- TUTORIAL - Altera Quartus R II e Kit de Desenvolvimento DE1

- TUTORIAL - Altera Quartus R II e Kit de Desenvolvimento DE1 Universidade Estadual de Campinas - UNICAMP Faculdade de Engenharia Elétrica e de Computação - FEEC Departamento de Engenharia de Computação e Automação Industrial - DCA - TUTORIAL - Altera Quartus R II

Leia mais

Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões

Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões Prof. Carlos A. Heuser Dezembro de 2009 Duração: 2 horas Prova com consulta Questão 1 (Construção de modelo ER) Deseja-se projetar a base de

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL vs. VHDL Verilog HDL é mais

Leia mais

DK105 GROVE. Temperatura e Umidade. Radiuino

DK105 GROVE. Temperatura e Umidade. Radiuino DK105 GROVE Temperatura e Umidade Radiuino O presente projeto visa mostrar uma básica aplicação com o Kit DK 105 Grove. Utilizamos um sensor de umidade e temperatura Grove juntamente ao nó sensor para

Leia mais

AULA 03 MEDIDAS DE RESISTÊNCIA ELÉTICA

AULA 03 MEDIDAS DE RESISTÊNCIA ELÉTICA AULA 03 MEDIDAS DE RESISTÊNCIA ELÉTICA 1.0 INTRODUÇÃO 1.1 Ponte de Wheatstone O método da ponte de Wheatstone, estudado por Wheatstone no sec. XIX é um dos métodos mais empregados para a medição de resistências

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC

Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC FACULDADE DE INFORMÁTICA PUCRS - Brazil http://www.inf.pucrs.br Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC Ney Laert Vilar Calazans,

Leia mais

Ficha da Unidade Curricular

Ficha da Unidade Curricular Ficha da Unidade Curricular Curso: Engenharia Electrotécnica/Informática Ano Lectivo: 2010/11 Unidade Curr.: Sistemas Digitais Ano Curricular: 1 Créditos: 6 Responsável: João Paulo Coelho Regime: Anual

Leia mais

PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES

PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES UNIVERSIDADE DE PERNAMBUCO ESCOLA POLITÉCNICA DE PERNAMBUCO PROJETO MODELO PARA O CURSO DE AUTOMAÇÃO DE PROJETOS DE CIRCUITOS INTEGRADOS PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES Elaborado por: JENER

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL Relatório técnico apresentado como requisito parcial para obtenção de

Leia mais

T.I. para o DealerSuite: Servidores Versão: 1.1

T.I. para o DealerSuite: Servidores Versão: 1.1 T.I. para o DealerSuite: Servidores Versão: 1.1 Lista de Figuras T.I. para o Dealer Suite: Servidores Figura 1 Tela Principal do ESXi...4 Figura 2 Tela VMware Player...5 Figura 3 Arquivo /etc/exports do

Leia mais

- SISTEMAS DIGITAIS II

- SISTEMAS DIGITAIS II FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a

Leia mais

1 Circuitos Pneumáticos

1 Circuitos Pneumáticos 1 Circuitos Pneumáticos Os circuitos pneumáticos são divididos em várias partes distintas e, em cada uma destas divisões, elementos pneumáticos específicos estão posicionados. Estes elementos estão agrupados

Leia mais

CRIANDO EQUIPAMENTOS CANHÃO LED

CRIANDO EQUIPAMENTOS CANHÃO LED CRIANDO EQUIPAMENTOS CANHÃO LED 2/9 INTRODUÇÃO INTRODUÇÃO Neste tutorial veremos como podemos criar um canhão de led que não existe na biblioteca do Freestyler. Podemos utilizar duas metodologias para

Leia mais

Testes Baseados na Implementação. (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins

Testes Baseados na Implementação. (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins Testes Baseados na Implementação (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins 1 Tópicos O que é Grafo de fluxo de controle Critérios de cobertura 2 Referências B.Beizer R.Binder

Leia mais

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II CENTRO UNIVERSITÁRIO POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÓGICAS ENGENHARIA DA COMPUTAÇÃO INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II UNICENP / NCET

Leia mais

Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada a Cálculo Numérico

Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada a Cálculo Numérico Centro Universitário Positivo - UnicenP Núcleo de Ciências Exatas e Tecnológicas NCET Engenharia da Computação Maurício Vitor Brodzinski Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada

Leia mais

Comércio e Manutenção de Produtos Eletrônicos. Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM. Versão 1.

Comércio e Manutenção de Produtos Eletrônicos. Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM. Versão 1. Comércio e Manutenção de Produtos Eletrônicos Manual CP-WS1 Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM PROXSYS Versão 1.4 Setembro -2015 Controlador Industrial CP-WS1

Leia mais

FÍSICA EXPERIMENTAL 3001

FÍSICA EXPERIMENTAL 3001 FÍSICA EXPERIMENTAL 3001 EXPERIÊNCIA 1 CIRCUITO RLC EM CORRENTE ALTERNADA 1. OBJETIOS 1.1. Objetivo Geral Apresentar aos acadêmicos um circuito elétrico ressonante, o qual apresenta um máximo de corrente

Leia mais

PORTA OU EXCLUSIVO (XOR) CIRCUITOS DE COINCIDÊNCIA (XNOR)

PORTA OU EXCLUSIVO (XOR) CIRCUITOS DE COINCIDÊNCIA (XNOR) PORTA OU EXCLUSIVO (XOR) CIRCUITOS DE COINCIDÊNCIA (XNOR) OBJETIVOS: a) analisar o comportamento de circuitos ou exclusivo e coincidência ; b) analisar os circuitos ou exclusivo e de coincidência como

Leia mais