CIRCUITO DE AMOSTRAGEM E RETENÇÃO

Tamanho: px
Começar a partir da página:

Download "CIRCUITO DE AMOSTRAGEM E RETENÇÃO"

Transcrição

1 Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica CIRCUITO DE AMOSTRAGEM E RETENÇÃO Laboratório I Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes, nº53788 André Cunha, nº53757 Grupo 3; 4ªfeira 15:00-19:00h Lisboa, 18 de Abril de 2007

2 Introdução Um conversor analógico digital é constituído por um circuito de amostragem, que faz a amostragem do sinal, um circuito quantificador, que faz a aproximação do valor de tensão amostrado a um dos 2 n níveis possíveis por arredondamento e truncagem, um elemento que executa a codificação do valor amostrado e um interface final. A amostragem faz-se utilizando circuitos de amostragem e retenção ou sample and hold, utilizados para reter o valor analógico de um sinal enquanto o circuito quantificador executa as operações de aproximação ao valor retido, já que a mudança da voltagem de input do quantificador durante o processo de conversão analógica/digital, conduziria a erros na determinação de um valor aproximado do sinal amostrado. Um circuito deste género é constituído essencialmente por um seguidor que recebe o sinal analógico, um condensador que armazena a voltagem do sinal, um switch electrónico entre ambos os dispositivos, usado para ligar e desligar alternadamente o condensador do input analógico e um seguidor final cuja saída é ligada ao quantificador ou conversor A/D. Os seguidores não interferem com o funcionamento do circuito, servindo apenas para o isolar dos restantes troços do ADC graças à sua elevada impedância de entrada e reduzida impedância de saída. A taxa a que o switch é operado é a taxa de amostragem do sistema. Assim, quando o switch está ligado, o circuito encontra-se no estado sample ou seja, a saída do circuito é igual à entrada; quando o switch está desligado, o circuito encontra-se no estado hold ou seja, a saída do circuito é igual a uma constante, correspondente ao valor amostrado no instante de tempo em que o estado hold foi accionado. O switch é controlado por um sinal de relógio escolhido em função da taxa de amostragem desejada. Figura 1 Esquema de um circuito sample and hold

3 Introdução Figura 2 Esquema de funcionamento de um circuito sample and hold Como qualquer circuito, um dispositivo de amostragem e retenção tem um desempenho e características temporais não ideais, o que faz com que o sinal de saída não corresponda exactamente ao exemplificado na figura 2. São de salientar o overshoot, slew rate e tempo de abertura, causados pelos elementos amplificadores do T/H. Os dois primeiros são esquematizados na figura 3; o tempo de abertura é o tempo necessário para desligar o condensador do sinal que memoriza e depende de vários factores entre eles o ruído e o sinal de entrada, o que tem como consequência uma incerteza neste tempo e origina erros de amostragem. Todos estes factores limitam a resolução de conversão. Outra característica não ideal do circuito é o decaimento do sinal no estado hold, causado por correntes de perdas dos componentes ligados ao condensador que fazem com que este descarregue. A taxa desta descarga é a taxa de decaimento do circuito e provoca erros para períodos longos de duração do estado hold, podendo ser calculada através do declive da recta de decaimento. Figura 3 Não idealidades do sinal amostrado por um circuito sample and hold O objectivo deste trabalho é a implementação de um circuito de amostragem e retenção comandado por um sinal periódico e a verificação do seu funcionamento, com particular incidência na análise da recta de decaimento do sinal amostrado e no ruído existente no estado hold. O sinal periódico é programado em VHDL. O trabalho foi executado em três sessões de laboratório.

4 Projecto e implementação do sinal periódico 1ª sessão de laboratório Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL. A primeira sessão de laboratório consistiu fundamentalmente na exposição dos objectivos do trabalho e na familiarização com o programa utilizado para a programação em VHDL, com fim à posterior programação de um sinal de relógio. Para tal, foi seguido um tutorial de iniciação à programação em VHDL, Programmable Logic Design - Quick Start Handbook, segundo o qual foi criado um projecto VHDL em ambiente de programação XILINX ISE MPLAB para o projecto de um contador. Foram definidas as entradas clock e reset como sinais do tipo in para a simulação do circuito em questão e o sinal count, um vector de de 4 bits do tipo inout, com MSB 3 e LSB 0. Assim, foi gerado automaticamente o projecto Contador com os portos referidos acima. Posteriormente foi adicionado ao código do projecto um código pré-feito e disponível no programa, cujos passos de acesso são explícitos no tutorial referido e cuja função é implementar um contador síncrono com o relógio. Posteriormente foi adicionado ao código um comando de reset do contador. O código, incluindo a importação das bibliotecas e a definição dos portos do contador, feitas na altura da criação do projecto, era o seguinte: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity contador is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; count : inout STD_LOGIC_VECTOR (3 downto 0)); end contador; architecture Behavioral of contador is begin process (clock, reset) begin if reset='1' then count <= "0000"; elsif clock='1' and clock'event then count <= count + 1; end if; end process; end Behavioral;

5 Projecto e implementação do sinal periódico A função deste código é incrementar o sinal count quando o relógio é igual a 1 e existe um evento no relógio, neste caso uma transição de flanco positivo. O reset é assíncrono e é avaliado antes do relógio. Depois de implementado o contador, procedeu-se ao seu teste, através da configuração do testbench ou ficheiro de teste, primeiro estruturando o clock num sinal quadrado de período 540ns e depois estruturando o sinal de reset para estar a 1 no primeiro flanco ascendente de relógio e a 0 no segundo, de forma a activar o reset e inicializar o contador a Posteriormente correu-se o teste em questão, verificando-se o bom funcionamento do circuito. Por se tratar de uma fase muito incipiente deste projecto, este teste não é aqui apresentado. 2ª sessão de laboratório Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL ; Kit SPARTAN 3. Uma vez compreendido o funcionamento básico do ambiente de desenvolvimento de código VHDL e implementado um contador básico, pretendia-se alterar o código do contador implementado na aula anterior, de forma a conseguir obter um sinal de 1 bit, quadrado e de frequência de cerca de 1000Hz, aproveitando o relógio de 50MHz da placa SPARTAN 3. O objectivo deste procedimento era criar um sinal mais lento, que pudesse servir de controlo do switch no circuito de amostragem e retenção a ser implementado neste projecto. Primeiro, mudou-se a dimensão do vector count para 25 bits de forma a que este pudesse contar até 50E6. Posteriormente decidiu-se mudar o circuito de forma ter uma linha de saída com frequência de cerca de 1000Hz que se pretendia que fosse o sinal a controlar o switch. Para tal foi declarado um novo porto do tipo out com o nome saida. Esta variável foi inicializada a 1 no bloco de código correspondente à própria inicialização do contador, ou seja quando o reset está a 1, já que se pretende que o sinal de saída esteja ligado quando o circuito começa a funcionar. Posteriormente, foi adicionado código à secção que se segue ou seja, quando o reset está a 0, a seguir à definição do contador. Neste código adicionado, apenas se pede que o sinal de saída venha a 0 quando o contador chegar a e volte a 1 quando o contador chegar a e nesse instante reinicialize o contador. Como o sinal de clock é de 50MHz, ou seja, tem um período de 20ns, e como o contador é síncrono com o relógio, quando o contador tiver contado até terão passado 0.5 s e a saída fica a 0 e quando o contador tiver contado até terão passado 1 s e a saída fica a 1. Como o contador reinicializa, o processo é repetido o que conduz à geração de uma onda quadrada de frequência 1kHz que era o que se pretendia.

6 Projecto e implementação do sinal periódico O código descrito é o seguinte: --declaração das bibliotecas utilizadas; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --Declaração dos portos do programa; entity contador is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; saida : out STD_LOGIC; count : inout STD_LOGIC_VECTOR (24 downto 0)); end contador; --definição do comportamento do programa architecture Behavioral of contador is begin process (clock, reset) begin --se o reset estiver a 1, o contador deve ser inicializado a 0 e a saida a 1 if reset='1' then count <= (others =>'0'); saida <='1'; --se o reset estiver a 0 elsif clock='1' and clock'event then --definição do contador count <= count + 1; --se o contador chegar a a saída vem a 0 if count= then saida <='0'; end if; --se o contador chegar a a saída vem a 1 e o contador é reinicializado a 0 if count= then saida <='1'; count <= (others =>'0'); end if; end if; end process; end Behavioral;

7 Projecto e implementação do sinal periódico Para poder testar o bom funcionamento do circuito, foi criado um ficheiro de teste VHDL testbench. Para configurar o ficheiro de teste criou-se o referido relógio de período 20ns e configurou-se o reset para estar a 1 aos 50ns e voltar a 0 ao 70ns de forma a poder desencadear o funcionamento do código projectado anteriormente. O teste em questão foi corrido e verificou-se o bom funcionamento do circuito simulado. No entanto, como o resultado da simulação é apresentado em ns, embora se possa definir a extensão temporal da mesma, era impossível apresentar neste relatório uma imagem da referida simulação, cuja extensão era enorme. Assim, e para efeito exclusivo da apresentação de um teste ao código no relatório, alterou-se o código para que a variável saída ficasse a 0 aos 10ns e a 1 aos 20 ns. O código do ficheiro de teste é apresentado em seguida, bem como o resultado da simulação nestas condições. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY Testesaida3_vhd IS END Testesaida3_vhd; ARCHITECTURE behavior OF Testesaida3_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT contador PORT( clock : IN std_logic; reset : IN std_logic; saida : INOUT std_logic; count : INOUT std_logic_vector(24 downto 0) ); END COMPONENT; --Inputs SIGNAL clock : std_logic := '0'; SIGNAL reset : std_logic := '0'; --BiDirs SIGNAL saida : std_logic; SIGNAL count : std_logic_vector(24 downto 0);

8 BEGIN -- Instantiate the Unit Under Test (UUT) uut: contador PORT MAP( clock => clock, reset => reset, saida => saida, count => count ); clock <= not clock after 10 ns; tb : PROCESS BEGIN Projecto e implementação do sinal periódico -- Wait 50 ns for global reset to finish wait for 50 ns; reset <='0'; wait for 50 ns; -- Place stimulus here reset <='1'; wait for 20 ns; reset <='0'; wait; -- will wait forever END PROCESS; END; Figura 4 Simulação de 1000ns do circuito implementado (foi omitida a variável count) Figura 5 Simulação de 1000ns do funcionamento da variável count

9 Projecto e implementação do sinal periódico Pode-se verificar o bom funcionamento de todas as variáveis em questão. Chama-se a atenção para o facto do primeiro período da variável saída ser mais longo em 20ns que os seguintes, devido ao facto de ser ligado quando o reset está a 1 e não a 0, o que não constitui um problema. Omitiram-se os bits superiores a 4 do contador, uma vez que estes estão sempre a zero, já que neste caso, como se diminuiu o período do sinal saída para poder apresentar um teste no relatório, o contador não chega a incrementar o suficiente para que estes bits fiquem a 1. Foi ainda implementado um código ligeiramente diferente do descrito acima, que foi testado nas mesmas condições e funciona igualmente bem, embora não tenha sido utilizado nas fases posteriores deste projecto. Este código apenas difere do anterior no facto de ter um contador adicional mais lento, cujos incrementos são feitos em cada s. O código descrito é o seguinte: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity contador is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; saida : inout STD_LOGIC; useg_en : inout STD_LOGIC; count : inout STD_LOGIC_VECTOR (24 downto 0)); end contador; architecture Behavioral of contador is signal cntmhz : STD_LOGIC_VECTOR (6 downto 0); begin process (clock, reset) begin --se o reset estiver a 1, tanto o contador de mhz como o contador de microsegundos são inicializados if reset='1' then cntmhz <= (others =>'0'); useg_en <='0'; --se o reset estiver a 0, o clock passa a dominar o comportamento do circuito elsif clock='1' and clock'event then --em cada iteração do clock, o contador de mhz incrementa cntmhz <= cntmhz + 1; --quando o nosso contador de mhz chega a 50, passa 1 microsegundo if cntmhz= 50 then cntmhz <= (others =>'0'); useg_en <='1'; --usamos agora, por questões de naturalidade, um contador de microsegundos count <= count + 1;

10 Projecto e implementação do sinal periódico --quando contamos 500 microsegundos, o nosso sinal sai a 1 if count= 500 then saida <= '1'; end if; --quando contamos 1000 microsegundos, o nosso o sinal vai a 0 e obtemos desta forma um sinal quadrangular de período igual a 1000 microsegundos o que equivale a 1kHz if count= 1000 then saida <= '0'; count <= (others =>'0'); end if; else useg_en <='0'; end if; end if; end process; end Behavioral; Uma vez verificado o bom funcionamento do código implementado, pretendiam-se ligar as variáveis count(23) e count(24) do contador simulado à placa SPARTAN 3, bem como a variável clock e reset, de forma a poder ver os LED s da placa a piscar à frequência das duas saídas de maior período do contador, como uma iniciação à utilização da placa. Assim, a placa foi ligada ao computador e alimentada e foi adicionada uma nova fonte ao projecto contador do tipo Implementation Constraints File onde se pudessem definir os pontos da placa (LED s, botão de reset e função de relógio) a ligar às variáveis definidas no código. O que a placa SPARTAN 3 faz é receber o código numa memória flash incorporada na placa e implementar este mesmo código, traduzindo-o em sinais reais, que podem depois ser utilizados num circuito real. Assim, o ficheiro adicionado ao projecto foi editado por consulta do manual da placa da seguinte maneira: NET "clock" LOC = "T9"; NET "reset" LOC = "L14"; NET "count<24>" LOC ="P11"; NET "count<23>" LOC ="P12"; Posteriormente, através do comando Implement Design e Generate Programming File, o código foi traduzido e implementado na placa através da programação da memória flash referida. Carregando no botão de reset da placa foi possível ver os LED s da placa a piscar à frequência esperada.

11 3ª sessão de laboratório Implementação e teste do circuito de amostragem e retenção Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL ; Kit SPARTAN 3; Multímetro; Osciloscópio; Ligações unifilares; Breadboard; Fonte de alimentação DC e gerador de sinais; OpAmp com entrada do tipo FET LF353; Switch 74HCT4066; 1 resistência de 47k ; 1 condensador de 1 F. Uma vez implementado o circuito de relógio procedeu-se à montagem do circuito de amostragem e retenção na breadboard de acordo com o esquema que constava no enunciado: Figura 6 Esquema de montagem do circuito de amostragem e retenção Como não foi encontrada no laboratório uma resistência de 100k substituiu-se a mesma por uma de 47k, cujo valor foi confirmado com um multímetro, sem prejuízo do funcionamento do circuito. Uma vez que o esquema de montagem do circuito já indicava a numeração dos pinos dos integrados entre os quais se deviam estabelecer as ligações, apenas se consultaram as datasheet s dos mesmos para verificar o nível de voltagem da sua alimentação.

12 Implementação e teste do circuito de amostragem e retenção Depois de ter o circuito montado e verificado, procedeu-se à ligação da entrada 5 do integrado OpAmp LF353 ao gerador de sinais da base de experimentação, que funciona como o sinal que se pretende amostrar, escolhendo o modo sinusoidal. Editou-se de seguida, novamente, o Implementation Constraints File, acrescentando a linha NET "saida" LOC ="C5"; que permitiu ligar o sinal de relógio projectado na sessão de laboratório anterior à entrada 13 do integrado Switch 74HCT4066 que corresponde a uma das entradas de controlo deste integrado. A massa do circuito foi ligada à massa da placa. Antes de verificar o funcionamento do circuito, ligou-se o Canal 1 do osciloscópio à saída do gerador de sinais. Verificou-se que o sinal sinusoidal a amostrar tinha uma frequência de cerca de 335Hz e uma amplitude de 1.6V pico a pico. Posteriormente, traduziu-se e implementou-se novamente o código na placa. Finalmente, ligou-se o Canal 1 do osciloscópio à saída C5 da placa, correspondente ao sinal de relógio de controlo do circuito, cuja frequência corresponde à frequência de amostragem (1kHz) e o Canal 2 do osciloscópio à saída do circuito de amostragem e retenção, correspondente ao pino 1 do integrado OpAmp LF353. Foram feitas duas aquisições do sinal de controlo do circuito e da saída do mesmo com uma escala temporal de 500 s e de 250 s respectivamente. Figura 7 Aquisição do sinal de controlo e do sinal de saída do circuito S&H (sinal de controlo simétrico) a 500 s

13 Implementação e teste do circuito de amostragem e retenção Figura 8 Aquisição do sinal de controlo e do sinal de saída do circuito S&H (sinal de controlo simétrico) a 250 s O objectivo deste procedimento foi a visualização do comportamento do sinal de saída do circuito de uma forma global e do comportamento particular da recta de decaimento para posterior análise do declive da mesma, que, como foi dito na introdução deste trabalho é um parâmetro de funcionamento do circuito implementado e a sua determinação um dos objectivos deste trabalho. Foram feitas ainda duas aquisições. Primeiro mudou-se o código do controlador do switch para que tivesse um comportamento assimétrico, ou seja, o relógio está a 1 durante 0.001s e a 0 1/5 deste tempo ou seja s. O código foi novamente implementado na placa e feita uma aquisição nestas condições: Figura 9 Aquisição do sinal de controlo e do sinal de saída do circuito S&H (sinal de controlo assimétrico, a 1 durante 0.001s e a 0 durante 1/5 deste tempo)

14 Implementação e teste do circuito de amostragem e retenção De seguida mudou-se novamente o código do controlador do switch para que tivesse um comportamento assimétrico, mas deste vez contrário ao comportamento anterior ou seja, o relógio está a 0 durante 0.001s e a 1 1/5 deste tempo ou seja s. O código foi novamente implementado na placa e feita uma aquisição nestas condições: Figura 10 Aquisição do sinal de controlo e do sinal de saída do circuito S&H (sinal de controlo assimétrico, a 0 durante 0.001s e a 1 durante 1/5 deste tempo) Uma vez feitas as aquisições necessárias à confirmação do bom funcionamento do circuito deu-se esta sessão de laboratório por terminada. Segue-se a análise dos sinais obtidos, nomeadamente da recta de decaimento do estado hold em cada uma das aquisições e do ruído presente no mesmo estado.

15 Análise dos resultados Da observação dos resultados, o primeiro aspecto a salientar é o comportamento diferente do sinal de saída para valores de tensão positiva e negativa. Fazendo uma análise qualitativa, observa-se que, enquanto para valores positivos, o sinal permanece num valor constante quando o clock está a 0 (ou seja, no estado hold), para valores negativos, o sinal mantém um comportamento aproximado do comportamento sinusoidal da tensão de entrada (embora não exactamente igual). Tal facto deve-se à lógica TTL inerente ao switch do circuito. Circuitos com uma lógica deste género apenas estão preparados para tensões de entrada entre 0 e 5V. Como tal, para valores negativos da tensão de entrada, o switch não bloqueia totalmente a passagem de corrente ou seja, não consegue manter o estado hold. Este apenas funciona devidamente para valores entre 0 e 5V, que é o caso dos valores positivos do sinal de entrada do circuito. Assim, a aquisição representada na Figura 8 não é válida para a análise do bom funcionamento do circuito, visto que praticamente só capturou valores de tensão negativos, tendo o comportamento errático observado. Para contornar o problema, sugerem-se dois procedimentos (que, obviamente, dependem dos objectivos pretendidos pelo utilizador e não serão práticos para a maior parte das situações, aplicando-se apenas a situações muito específicas): a utilização de um díodo rectificador de sinal ou uma diminuição da frequência do clock de forma a que o estado hold apenas encontre valores de tensão positiva no sinal de entrada. Observando o comportamento dos valores positivos do sinal de saída em todas as aquisições, concluímos que o sinal, em primeira análise, se comporta como esperado, efectuando o hold com o clock a 0 e seguindo o valor de tensão de entrada para valores de clock a 1. Observa-se que após os estados hold, o sinal possui um declive de módulo muito elevado de forma a poder retomar rapidamente os valores de tensão de entrada, se o seu valor no final deste período se encontrar muito afastado do valor da tensão de entrada. De forma a analisar se existe decaimento do sinal e ruído durante o estado hold, foram efectuadas regressões lineares relativas às rectas proporcionadas por estes estados. Figura 11 Regressão linear referente ao 1º estado hold da figura 7

16 Análise dos resultados Obteve-se a seguinte regressão: V(t) = B*t + A, com B = -9.7 ± 4.2 (V/s) e A = 0.73 ±0.09 (V). Como seria de esperar, o declive da recta é negativo, o que mostra que existe decaimento do sinal durante o estado hold, devido à não idealidade do circuito. Contudo, tendo em conta que o erro do declive é de 43% e para os valores que o sinal atinge, considerar que o valor se mantém constante para o intervalo de tempo considerado é uma aproximação válida. Se o intervalo de tempo fosse maior, era necessário ter mais atenção ao decaimento do sinal. Do erro de A retira-se o ruído inerente ao sinal ou seja o afastamento médio dos pontos à recta, que neste caso é de 12%. Este valor não é muito elevado, já que o ruído não impede a visualização clara do comportamento do sinal em estudo. De forma a se poder efectuar um melhor estudo do decaimento do estado hold, apresentase aqui a regressão linear efectuada para um tempo de hold prolongado (Figura 9). Figura 12 regressão linear referente ao 1º estado hold da figura 9 Os valores obtidos a partir da regressão foram V(t) = B*t + A, com B = -4.2 ± 1.9 (V/s) e A = 0.6 ± (V). Mais uma vez, o declive obtido é negativo, mas com um erro muito elevado, de 45%. As conclusões a tirar são as mesmas de anteriormente, já que se verifica o decaimento, mas devido ao elevado erro, considerar o valor de tensão constante durante o estado hold permanece uma aproximação válida, mesmo com o aumento de tempo para este estado. Foi feita a análise de todas as rectas do estado hold obtidas nas diversas aquisições. Contudo, como os resultados e as conclusões obtidas são em tudo semelhantes às já relatadas até agora, optou-se por não apresentar esses resultados, para evitar fornecer informação repetitiva e desnecessária, de forma a não extender em demasia o relatório. Todas as regressões efectuadas devolveram declives negativos com taxas de erro a rondarem os 50%, pelo que a aproximação a valor constante é considerada válida para todas elas, validando o bom funcionamento do circuito. Verificou-se também em todas as aquisições a reduzida presença de ruído, de percentagem variável em relação ao sinal mas nunca excedendo os 12% e cuja existência nunca impediu a compreensão clara da natureza do sinal.

17 Conclusões Com este trabalho foi possível compreender o funcionamento do circuito sample and hold, bem como as não idealidades a ele inerentes. Também foi possível a familiarização com a linguagem VHDL que será útil em futuros trabalhos, bem como com o funcionamento da placa SPARTAN 3. A análise dos dados recolhidos conduziu aos resultados esperados, nomeadamente ao facto de se verificar que o circuito implementado possui imperfeições, mais especificamente correntes de fuga dos componentes ligados ao condensador, o que conduz ao aparecimento de uma recta de decaimento no estado hold, mas cujo impacto no funcionamento do circuito é de pouca importância. O mesmo se pode concluir, no que toca à presença de ruído no mesmo estado. De uma forma geral, pode-se concluir que foram cumpridos os objectivos deste trabalho e apreendidas os novos conhecimentos a ele associados. Bibliografia Programmable Logic Design - Quick Start Handbook; Manual do kit SPARTAN 3; datasheet do integrado 74HCT4066; datasheet do integrado LF353.

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

Espectro da Voz e Conversão A/D

Espectro da Voz e Conversão A/D INSTITUTO SUPERIOR TÉCNICO LICENCIATURA EM ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES GUIA DO 1º TRABALHO DE LABORATÓRIO DE SISTEMAS DE TELECOMUNICAÇÕES I Espectro da Voz e Conversão A/D Ano Lectivo de

Leia mais

Amplificadores Operacionais

Amplificadores Operacionais Análise de Circuitos LEE 2006/07 Guia de Laboratório Trabalho 2 Amplificadores Operacionais INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores Paulo Flores 1 Objectivos

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

Tutorial de Eletrônica Aplicações com 555 v2010.05

Tutorial de Eletrônica Aplicações com 555 v2010.05 Tutorial de Eletrônica Aplicações com 555 v2010.05 Linha de Equipamentos MEC Desenvolvidos por: Maxwell Bohr Instrumentação Eletrônica Ltda. Rua Porto Alegre, 212 Londrina PR Brasil http://www.maxwellbohr.com.br

Leia mais

CIRCUITOS E SISTEMAS ELECTRÓNICOS

CIRCUITOS E SISTEMAS ELECTRÓNICOS INSTITUTO SUPERIOR DE CIÊNCIAS DO TRABALHO E DA EMPRESA Enunciado do 2º Trabalho de Laboratório CIRCUITOS E SISTEMAS ELECTRÓNICOS MODELAÇÃO E SIMULAÇÃO DE CIRCUITOS DE CONVERSÃO ANALÓGICO-DIGITAL E DIGITAL-ANALÓGICO

Leia mais

CONTROLO DE UM STEP MOTOR

CONTROLO DE UM STEP MOTOR Relatório de Microprocessadores 2007/2008 Engenharia Física Tecnológica CONTROLO DE UM STEP MOTOR Laboratório III Trabalho realizado por: André Cunha, nº53757 João Pereira, nº 55315 Grupo 3; 5ªfeira 13:00-16:00h

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Conversão Analógica Digital

Conversão Analógica Digital Slide 1 Conversão Analógica Digital Até agora, discutimos principalmente sinais contínuos (analógicos), mas, atualmente, a maioria dos cálculos e medições é realizada com sistemas digitais. Assim, precisamos

Leia mais

Aula 19. Conversão AD e DA Técnicas

Aula 19. Conversão AD e DA Técnicas Aula 19 Conversão AD e DA Técnicas Introdução As características mais importantes dos conversores AD e DA são o tempo de conversão, a taxa de conversão, que indicam quantas vezes o sinal analógico ou digital

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

O AMPLIFICADOR LOCK-IN

O AMPLIFICADOR LOCK-IN O AMPLIFICADOR LOCK-IN AUTORES: MARCELO PORTES DE ALBUQUERQUE LEONARDO CORREIA RESENDE JORGE LUÍS GONZALEZ RAFAEL ASTUTO AROUCHE NUNES MAURÍCIO BOCHNER FEVEREIRO 2008 SUMÁRIO RESUMO... 3 1. INTRODUÇÃO...

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Placa Acessório Modem Impacta

Placa Acessório Modem Impacta manual do usuário Placa Acessório Modem Impacta Parabéns, você acaba de adquirir um produto com a qualidade e segurança Intelbras. A Placa Modem é um acessório que poderá ser utilizado em todas as centrais

Leia mais

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre ACENDENDO AS LUZES Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre Projeto 1 LED piscante Neste capitulo, todos os projetos utilizam luzes LED s de diversas formas. Em relação ao hardware,

Leia mais

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010 Questão 21 Conhecimentos Específicos - Técnico em Eletrônica Calcule a tensão Vo no circuito ilustrado na figura ao lado. A. 1 V. B. 10 V. C. 5 V. D. 15 V. Questão 22 Conhecimentos Específicos - Técnico

Leia mais

Controladores Lógicos Programáveis 2

Controladores Lógicos Programáveis 2 Escola Superior de Tecnologia Instituto Politécnico de Castelo Branco Departamento de Informática Curso de Engenharia Informática Automação e Controlo Industrial Ano Lectivo de 2004/2005 Controladores

Leia mais

Aparelhos de Laboratório de Electrónica

Aparelhos de Laboratório de Electrónica Aparelhos de Laboratório de Electrónica Este texto pretende fazer uma introdução sucinta às características fundamentais dos aparelhos utilizados no laboratório. As funcionalidades descritas são as existentes

Leia mais

Manual de funcionamento

Manual de funcionamento INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA ÁREA DEPARTAMENTAL DE ENGENHARIA DE ELECTRÓNICA E TELECOMUNICAÇÕES E DE COMPUTADORES Manual de funcionamento Setembro de 2012 Índice Índice Capítulo 1 - Medição

Leia mais

Conversão Digital Analógico e Analógico Digital. Disciplina: Eletrônica Básica Prof. Manoel Eusebio de Lima

Conversão Digital Analógico e Analógico Digital. Disciplina: Eletrônica Básica Prof. Manoel Eusebio de Lima Conversão Digital Analógico e Analógico Digital Disciplina: Eletrônica Básica Prof. Manoel Eusebio de Lima Agenda Grandezas Digitais e Analógicas Por que converter? Diagrama básico para conversão Conversores

Leia mais

GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM

GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM 1. RESUMO GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM Validação, por parte dos alunos, da expressão R = ρ RLApara o cálculo da resistência de um condutor cilíndrico. Determinação da resistência total

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015 Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com

Leia mais

TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência

TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência GUIA DE LABORATÓRIO Análise de Circuitos - LEE TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores

Leia mais

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A AD / DA M-1116A *Only illustrative image./imagen meramente ilustrativa./imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 Conteúdo 1. Objetivos 3 2. Experiência

Leia mais

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Sistemas Digitais Reconfiguráveis Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Liliana Rocha Nicolau Lopes da Costa 27611 Ano Lectivo de 2006/2007 Universidade de Aveiro 1. Objectivos

Leia mais

DETERMINAÇÃO DA RESISTÊNCIA INTERNA DE UMA PILHA

DETERMINAÇÃO DA RESISTÊNCIA INTERNA DE UMA PILHA TLHO PÁTCO DETEMNÇÃO D ESSTÊNC NTEN DE UM PLH Objectivo Este trabalho compreende as seguintes partes: comparação entre as resistências internas de dois voltímetros, um analógico e um digital; medida da

Leia mais

EA075 Conversão A/D e D/A

EA075 Conversão A/D e D/A EA075 Conversão A/D e D/A Faculdade de Engenharia Elétrica e de Computação (FEEC) Universidade Estadual de Campinas (UNICAMP) Prof. Levy Boccato 1 Introdução Sinal digital: possui um valor especificado

Leia mais

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Caracterização temporal de circuitos: análise de transientes e regime permanente. Condições iniciais e finais e resolução de exercícios.

Caracterização temporal de circuitos: análise de transientes e regime permanente. Condições iniciais e finais e resolução de exercícios. Conteúdo programático: Elementos armazenadores de energia: capacitores e indutores. Revisão de características técnicas e relações V x I. Caracterização de regime permanente. Caracterização temporal de

Leia mais

Conversores D/A e A/D

Conversores D/A e A/D Conversores D/A e A/D Introdução Um sinal analógico varia continuamente no tempo. Som Temperatura Pressão Um sinal digital varia discretamente no tempo. Processamento de sinais digitais Tecnologia amplamente

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

CAPÍTULO 7 NÍVEL DE LINGUAGEM DE MONTAGEM

CAPÍTULO 7 NÍVEL DE LINGUAGEM DE MONTAGEM CAPÍTULO 7 NÍVEL DE LINGUAGEM DE MONTAGEM 71 Introdução Difere dos níveis inferiores por ser implementado por tradução A tradução é usada quando um processador está disponível para uma mensagem fonte mas

Leia mais

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG.

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG. Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS Módulo x (Aula Prática) Reliance - Ashtech e Suas Aplicações Em SIG (Carlos Antunes) INTODUÇÃO O Sistema Reliance baseia-se na utilização do

Leia mais

Laboratório 7 Circuito RC *

Laboratório 7 Circuito RC * Laboratório 7 Circuito RC * Objetivo Observar o comportamento de um capacitor associado em série com um resistor e determinar a constante de tempo do circuito. Material utilizado Gerador de função Osciloscópio

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

LEE 2006/07. Guia de Laboratório. Trabalho 3. Circuitos Dinâmicos. Resposta no Tempo

LEE 2006/07. Guia de Laboratório. Trabalho 3. Circuitos Dinâmicos. Resposta no Tempo Análise de Circuitos LEE 2006/07 Guia de Laboratório Trabalho 3 Circuitos Dinâmicos Resposta no Tempo INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores Paulo Flores

Leia mais

Novo Formato de Logins Manual de Consulta

Novo Formato de Logins Manual de Consulta Gestão Integrada de Acessos Novo Formato de Logins Manual de Consulta Gestão Integrada de Acessos Histórico de Alterações Versão Descrição Autor Data 1.0 Versão inicial DSI/PPQ 2014-07-11 Controlo do documento

Leia mais

Demonstração da técnica de detecção sensível à fase: uma aplicação óptica. Davi R. Ortega, Túlio C. Rizuti da Rocha Orientador: Flávio Caldas da Cruz

Demonstração da técnica de detecção sensível à fase: uma aplicação óptica. Davi R. Ortega, Túlio C. Rizuti da Rocha Orientador: Flávio Caldas da Cruz Demonstração da técnica de detecção sensível à fase: uma aplicação óptica I - Introdução Davi R. Ortega, Túlio C. Rizuti da Rocha Orientador: Flávio Caldas da Cruz No relatório parcial, mostramos a teoria

Leia mais

Faculdade de Engenharia Optimização. Prof. Doutor Engº Jorge Nhambiu

Faculdade de Engenharia Optimização. Prof. Doutor Engº Jorge Nhambiu 1 Programação Não Linear Aula 25: Programação Não-Linear - Funções de Uma única variável Mínimo; Mínimo Global; Mínimo Local; Optimização Irrestrita; Condições Óptimas; Método da Bissecção; Método de Newton.

Leia mais

Ficha 1. Conjunto de informação básica, essencial para utilizar sensores e actuadores.

Ficha 1. Conjunto de informação básica, essencial para utilizar sensores e actuadores. Ficha 1 Conjunto de informação básica, essencial para utilizar sensores e actuadores. Sítio: Elearning UAb Unidade curricular: FATAC - Sensores e Actuadores (DMAD 2013-14) Livro: Ficha 1 Impresso por:

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

PROJETO DE REDES www.projetoderedes.com.br

PROJETO DE REDES www.projetoderedes.com.br PROJETO DE REDES www.projetoderedes.com.br Curso de Tecnologia em Redes de Computadores Disciplina: Redes I Fundamentos - 1º Período Professor: José Maurício S. Pinheiro AULA 2: Transmissão de Dados 1.

Leia mais

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f Monitor de Rede Elétrica Som Maior Pro Manual do Usuário Versão 3.9f 2 ÍNDICE PÁG. 1 APRESENTAÇÃO...03 2 DESCRIÇÃO DO EQUIPAMENTO...04 2.1 ROTINA INICIAL DE AVALIAÇÃO DA REDE ELÉTRICA...04 2.2 TROCA DE

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS E.T.M./2007 (adaptação) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor

Leia mais

Medição de Tensões e Correntes Eléctricas Leis de Ohm e de Kirchoff (Rev. 03/2008) 1. Objectivo:

Medição de Tensões e Correntes Eléctricas Leis de Ohm e de Kirchoff (Rev. 03/2008) 1. Objectivo: LEO - MEBiom Medição de Tensões e Correntes Eléctricas Leis de Ohm e de Kirchoff (Rev. 03/2008) 1. Objectivo: Aprender a medir tensões e correntes eléctricas com um osciloscópio e um multímetro digital

Leia mais

Sistemas Operativos 2005/2006. Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca. Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes

Sistemas Operativos 2005/2006. Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca. Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes Sistemas Operativos 2005/2006 Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca Turma 3EIC3 Grupo 5 Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes O trabalho efectuado, consiste numa

Leia mais

EE531 - Turma S. Diodos. Laboratório de Eletrônica Básica I - Segundo Semestre de 2010

EE531 - Turma S. Diodos. Laboratório de Eletrônica Básica I - Segundo Semestre de 2010 EE531 - Turma S Diodos Laboratório de Eletrônica Básica I - Segundo Semestre de 2010 Professor: José Cândido Silveira Santos Filho Daniel Lins Mattos RA: 059915 Raquel Mayumi Kawamoto RA: 086003 Tiago

Leia mais

Modelo Cascata ou Clássico

Modelo Cascata ou Clássico Modelo Cascata ou Clássico INTRODUÇÃO O modelo clássico ou cascata, que também é conhecido por abordagem top-down, foi proposto por Royce em 1970. Até meados da década de 1980 foi o único modelo com aceitação

Leia mais

Acronis Servidor de Licença. Manual do Utilizador

Acronis Servidor de Licença. Manual do Utilizador Acronis Servidor de Licença Manual do Utilizador ÍNDICE 1. INTRODUÇÃO... 3 1.1 Descrição geral... 3 1.2 Política de licenças... 3 2. SISTEMAS OPERATIVOS SUPORTADOS... 4 3. INSTALAR O SERVIDOR DE LICENÇA

Leia mais

PROGRAMAÇÃO DE UM MICROPROCESSADOR

PROGRAMAÇÃO DE UM MICROPROCESSADOR Relatório de Microprocessadores 2007/2008 Engenharia Física Tecnológica PROGRAMAÇÃO DE UM MICROPROCESSADOR EM C E ASSEMBLY PARA CONTROLO DE UM LED Laboratório I Trabalho realizado por: André Cunha, nº53757

Leia mais

Base de Dados para Administrações de Condomínios

Base de Dados para Administrações de Condomínios Base de Dados para Administrações de Condomínios José Pedro Gaiolas de Sousa Pinto: ei03069@fe.up.pt Marco António Sousa Nunes Fernandes Silva: ei03121@fe.up.pt Pedro Miguel Rosário Alves: alves.pedro@fe.up.pt

Leia mais

1.6. Tratamento de Exceções

1.6. Tratamento de Exceções Paradigmas de Linguagens I 1 1.6. Tratamento de Exceções Uma exceção denota um comportamento anormal, indesejado, que ocorre raramente e requer alguma ação imediata em uma parte do programa [GHE 97, DER

Leia mais

1 Título. 2 Objetivos. 3 - Fundamentos Teóricos. Página 1 de 5 Universidade Federal de Juiz de Fora. Prática 1 Aplicações das Funções Lógicas

1 Título. 2 Objetivos. 3 - Fundamentos Teóricos. Página 1 de 5 Universidade Federal de Juiz de Fora. Prática 1 Aplicações das Funções Lógicas Página 1 de 5 1 Título Prática 1 Aplicações das Funções Lógicas 2 Objetivos Identificação do encapsulamento dos Circuitos Integrados (CIs) que serão utilizados no decorrer das aulas práticas, interpretação

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 INTRODUÇÃO

Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 INTRODUÇÃO Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 Curso Técnico em Eletrônica Eletrônica Industrial Apostila sobre Modulação PWM Prof. Ariovaldo Ghirardello INTRODUÇÃO Os controles de potência,

Leia mais

Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:...

Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:... 0 Conteúdo Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:... 4 1.2 Acesso através do teclado (digitando a matrícula):...

Leia mais

11.3) Circuitos conversores D/A

11.3) Circuitos conversores D/A 11.3) Circuitos conversores D/A Conversor com rede R2R: Se o R MSB for de 1KΩem um conversor de 12 bits, o R LSB seria 2 12 1KΩ=4096KΩ. Não é possível garantir precisão nesta faixa. Nas redes R/2R usamos

Leia mais

INTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES

INTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES INTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES Matheus Montanini Breve (PIBIC-Jr), Miguel Angel Chincaro Bernuy (Orientador), e-mail: migueltrabalho@gmail.com Universidade Tecnológica

Leia mais

Filtros de sinais. Conhecendo os filtros de sinais.

Filtros de sinais. Conhecendo os filtros de sinais. Filtros de sinais Nas aulas anteriores estudamos alguns conceitos importantes sobre a produção e propagação das ondas eletromagnéticas, além de analisarmos a constituição de um sistema básico de comunicações.

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

Estabilizada de. PdP. Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006

Estabilizada de. PdP. Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 TUTORIAL Fonte Estabilizada de 5 Volts Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Especificação do 3º Trabalho

Especificação do 3º Trabalho Especificação do 3º Trabalho I. Introdução O objetivo deste trabalho é abordar a prática da programação orientada a objetos usando a linguagem Java envolvendo os conceitos de classe, objeto, associação,

Leia mais

CONTROLE DIGITAL DE VOLUME 1.-----------------------------------------------------------------------------

CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- Uma boa gama de aplicações atuais utiliza o controle de volume digital. Não nos referimos apenas

Leia mais

Programação 2ºSemestre MEEC - 2010/2011. Programação 2º Semestre 2010/2011 Enunciado do projecto

Programação 2ºSemestre MEEC - 2010/2011. Programação 2º Semestre 2010/2011 Enunciado do projecto Mestrado Integrado em Engenharia Electrotécnica e de Computadores Programação 2º Semestre 2010/2011 Enunciado do projecto O projecto a desenvolver pelos alunos consistirá numa sistema de monitorização,

Leia mais

GP-IB. Artur Palha n o 46724 Ana Vieira da Silva n o 46722 Pedro Ribeiro n o 46750 5-5-2002

GP-IB. Artur Palha n o 46724 Ana Vieira da Silva n o 46722 Pedro Ribeiro n o 46750 5-5-2002 GP-IB Artur Palha n o 46724 Ana Vieira da Silva n o 46722 Pedro Ribeiro n o 46750 5-5-2002 Resumo Amostragem de sinais AM e FM com gerador de RF e osciloscópio comandados por GP-IB Set up da experiência

Leia mais

Trabalho Prático Sistema de Armazenagem Relatório Artifex

Trabalho Prático Sistema de Armazenagem Relatório Artifex Trabalho Prático Sistema de Armazenagem Relatório Artifex Docente: Paulo Portugal Ana Luísa Martins Daniel Eduardo Miranda dos Santos Marco António Clemente Maltez 2004/2005 ee04255 ee04245 ee04247 Índice

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

Automação e Instrumentação

Automação e Instrumentação Instituto Superior de Engenharia de Coimbra Engenharia e Gestão Industrial Automação e Instrumentação Trabalho Prático Nº 3 Acondicionamento do sinal de sensores. Introdução A maior parte dos sensores

Leia mais

PROGRAMAÇÃO DE MICROPROCESSADORES 2011 / 2012

PROGRAMAÇÃO DE MICROPROCESSADORES 2011 / 2012 Departamento de Engenharia Electrotécnica PROGRAMAÇÃO DE MICROPROCESSADORES 2011 / 2012 Mestrado Integrado em Engenharia Electrotécnica e de Computadores 1º ano 2º semestre Trabalho Final Reservas de viagens

Leia mais

B2S SISTEMAS DE INFORMAÇÃO, LDA. RUA ARTILHARIA UM, Nº 67 3º FRT. 1250-038 LISBOA TEL: 21 385 01 09 - FAX: 21 012 52 25 E-MAIL B2S@B2S.

B2S SISTEMAS DE INFORMAÇÃO, LDA. RUA ARTILHARIA UM, Nº 67 3º FRT. 1250-038 LISBOA TEL: 21 385 01 09 - FAX: 21 012 52 25 E-MAIL B2S@B2S. Procedimentos Abertura/Fecho Ano Primavera V750 B2S SISTEMAS DE INFORMAÇÃO, LDA. RUA ARTILHARIA UM, Nº 67 3º FRT. 1250-038 LISBOA TEL: 21 385 01 09 - FAX: 21 012 52 25 E-MAIL B2S@B2S.PT MCRC LISBOA 11492

Leia mais

5. Métodos ágeis de desenvolvimento de software

5. Métodos ágeis de desenvolvimento de software Engenharia de Software 5. Métodos ágeis de desenvolvimento de software Nuno Miguel Gil Fonseca nuno.fonseca@estgoh.ipc.pt Desenvolver e entregar software o mais rapidamente possível é hoje em dia um dos

Leia mais

Actualização. Versão 5.3.1

Actualização. Versão 5.3.1 Actualização Versão 5.3.1 Janeiro 2011 2 JURINFOR Rua de Artilharia 1, Nº 79 3º Dtº - 1250-038 LISBOA PORTUGAL 1 Índice 2 Facturação Certificada Conformidade de procedimentos... 4 2.1 Documentos Certificados...

Leia mais

PdP. Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006

PdP. Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006 TUTORIAL Servo-motor Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Introdução 5. Amplificação com FET 6. Polarização do FET 6 Polarização do terminal dreno 7 Polarização do terminal porta 7

Introdução 5. Amplificação com FET 6. Polarização do FET 6 Polarização do terminal dreno 7 Polarização do terminal porta 7 Sumário Introdução 5 Amplificação com FET 6 Polarização do FET 6 Polarização do terminal dreno 7 Polarização do terminal porta 7 Estágio amplificador com FET 8 Princípio de funcionamento 9 Características

Leia mais

Capítulo 3 - Trabalhando com circuitos digitais

Capítulo 3 - Trabalhando com circuitos digitais Prof. Alan Petrônio Pinheiro Apostila de MultiSim 17 Capítulo 3 - Trabalhando com circuitos digitais O primeiro passo para se trabalhar com circuitos digitais é inserir portas lógicas. Para isto, dispomos

Leia mais

Os elementos de circuito que estudámos até agora foram elementos lineares. Ou seja, se duplicamos a ddp aos terminais de um

Os elementos de circuito que estudámos até agora foram elementos lineares. Ou seja, se duplicamos a ddp aos terminais de um O Díodo Os elementos de circuito que estudámos até agora foram elementos lineares. Ou seja, se duplicamos a ddp aos terminais de um componente, a intensidade da corrente eléctrica que o percorre também

Leia mais

Fundamentos de Telecomunicações

Fundamentos de Telecomunicações Fundamentos de Telecomunicações Translação de Frequências A utilização eficaz de um canal de transmissão pode requerer por vezes a utilização de uma banda de frequências diferente da frequência original

Leia mais

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos TUTORIAL Barra de LEDs Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

MEDIÇÃO DE GRANDEZAS ELÉCTRICAS UTILIZAÇÃO DO OSCILOSCÓPIO E DO MULTÍMETRO

MEDIÇÃO DE GRANDEZAS ELÉCTRICAS UTILIZAÇÃO DO OSCILOSCÓPIO E DO MULTÍMETRO TRABALHO PRÁTICO MEDIÇÃO DE GRANDEZAS ELÉCTRICAS UTILIZAÇÃO DO OSCILOSCÓPIO E DO MULTÍMETRO Objectivo Este trabalho tem como objectivo a familiarização com alguns dos equipamentos e técnicas de medida

Leia mais

EXPERIÊNCIA Nº 2 1. OBJETIVO

EXPERIÊNCIA Nº 2 1. OBJETIVO Universidade Federal do Pará - UFPA Faculdade de Engenharia da Computação Disciplina: Laboratório de Eletrônica Analógica TE - 05181 Turma 20 Professor: Bruno Lyra Alunos: Adam Dreyton Ferreira dos Santos

Leia mais

MANUAL DO UTILIZADOR

MANUAL DO UTILIZADOR MANUAL DO UTILIZADOR Versão 1.6 PÁGINA DE PESQUISA A página principal do PacWeb permite a realização de um número muito variado de pesquisas, simples, ou pelo contrário extremamente complexas, dependendo

Leia mais

OPERADORES E ESTRUTURAS DE CONTROLE

OPERADORES E ESTRUTURAS DE CONTROLE OPERADORES E ESTRUTURAS DE CONTROLE 3.1 Operadores Os operadores indicam o tipo de operação matemática que será executada gerando novos valores a partir de um ou mais operadores. São muito utilizados em

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

DEPARTAMENTO DE ENGENHARIA MARÍTIMA Curso de Engenharia de Sistemas Electrónicos e Marítimos. TRABALHO LABORATORIAL nº 2 de ELECTRÓNICA II

DEPARTAMENTO DE ENGENHARIA MARÍTIMA Curso de Engenharia de Sistemas Electrónicos e Marítimos. TRABALHO LABORATORIAL nº 2 de ELECTRÓNICA II DEPARTAMENTO DE ENGENHARIA MARÍTIMA Curso de Engenharia de Sistemas Electrónicos e Marítimos TRABALHO LABORATORIAL nº 2 de ELECTRÓNICA II Osciladores Trabalho prático nº 2 Paulo Chaves 1/7 1. INTRODUÇÃO

Leia mais

Capítulo 4 Conversores AD e DA

Capítulo 4 Conversores AD e DA Capítulo 4 Conversores AD e DA Conteúdo Processamento de quantidades digitais e analógicas Conversão Digital-Analógico DA Circuitos conversores D/A Conversão Analógico-Digital AD AD de rampa digital Aquisição

Leia mais

UNIVERSIDADE FEDERAL DO PARANÁ. Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional

UNIVERSIDADE FEDERAL DO PARANÁ. Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional Alunos: Cezar Oliveira Douglas Alencar Professores: Márlio José do

Leia mais

ALCANCE DINÂMICO CCD CMOS

ALCANCE DINÂMICO CCD CMOS ALCANCE DINÂMICO Nos sensores de imagem CCD (charge-coupled device) ou CMOS (complementary metal oxide semiconductor), o alcance dinâmico (ou dinâmica) é especificado tipicamente como a razão entre o sinal

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais