PROJETO DE CIRCUITOS INTEGRADOS VLSI
|
|
- Alexandra Barros Nunes
- 8 Há anos
- Visualizações:
Transcrição
1 Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita Teresina
2 Prototipagem de um Modelo HDL em FPGA Uma vez um sistema descrito em uma linguagem HDL foi simulado com êxito, o mesmo pode ser prototipado em FPGA. Para isto, o primeiro passo é associar cada terminal externo do modelo à um pino do FPGA escolhido. Geralmente, para a modelagem de um sistema VLSI, adota-se uma placa de desenvolvimento em FPGA cujas características se adequem ao sistema alvo. Conhecendo-se a placa de desenvolvimento adotada, a determinação dos pinos do FPGA a serem utilizados torna-se bastante simples, bastando para isso uma rápida análise do manual da placa. 2
3 Prototipagem Placa de Desenvolvimento Adotada Altera Nios II Development Kit - Stratix II Edition Ethernet USER I/O Stratix II FPGA EP2S60F672C5ES RS232 RS232 USER I/O Memória SRAM Memória FLASH FLASH Card MAX CPLD Memória DRAM USER LEDs USER Keys USER Displays 3
4 Associando Terminais do Modelo à Pinos do FPGA A associação dos terminais externos de um modelo HDL à pinos do FPGA no Quartus II pode ser feita basicamente de três formas: Utilizando o Pin Planner; Utilizando o Assignment Editor; Edição manual do arquivo.qsf. A fim de ilustrar as três formas possíveis de associação de pinos, considere o modelo a seguir: 4
5 Divisor de Clock O sistema abaixo consiste em um divisor do clock de entrada (50MHz) com sinalização visual: LEDs 0 à 3: correspondem ao clock de entrada dividido por 2 26 à 2 23, respectivamente; LEDs 4 à 7: correspondem ao estado das teclas user_pb[0] à user_pb[3], respectivamente. Vcc clock (50MHz) reset user_pb[0] user_pb[1] user_pb[2] user_pb[3] clk rst_n clock_divider ledg[0] ledg[1] ledg[2] ledg[3] ledg[4] ledg[5] ledg[6] ledg[7] 5
6 Código de Implementação Divisor de Clock module clock_div(input logic clk, rst_n, output logic [7:0] ledg, input [3:0] user_pb); logic [25:0] c; logic [1:0] scaler; clk, negedge rst_n) c[0] <= (~rst_n)? 1'b0 : ~c[0]; Terminais externos do módulo clock_div genvar i; // variável de indexação para o 'generate-for' generate for (i=0; i < 25; i++) begin : clocks c[i], negedge rst_n) c[i+1] <= (~rst_n)? 1'b0 : ~c[i+1]; end endgenerate always_comb begin for (int j=0; j<4; j++) begin ledg[j] = c[25-j]; ledg[j+4] = ~user_pb[j]; end end endmodule 6
7 Diagrama RTL para o divisor de clock Detalhe dos 6 últimos FFs da cadeia: 7
8 Quartus II Pin Planner Janelas de tarefas e relatório Mapa de pinos do FPGA adotado Listagem dos pinos do FPGA 8
9 Quartus II Pin Planner: Mapa de Pinos do FPGA Pinos atribuídos ao projeto 9
10 Quartus II Pin Planner Exibe a legenda dos pinos Nome do terminal no código HDL Pino associado no FPGA Padrão de I/O relacionado Direção do sinal Banco de I/O correspondente ao o pino Grupo de alimentação correspondente 10
11 Quartus II Assignment Editor 11
12 Arquivo.qsf Síntese: set_location_assignment addr[10] -to PIN_M20 -comment "Endereço da ROM" set_instance_assignment -name IO_STANDARD "2.5 V" -to addr[10] set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to addr[10] 12
13 Acrescentar ao final do arquivo.qsf: Pinagem do Clock, Reset, LEDs e Chaves set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" # MAIN CLOCK ======================================== set_location_assignment PIN_AF15 -to clk # MAIN RESET BUTTON ================================= set_location_assignment PIN_AA15 -to rst_n # USER LEDS ========================================= set_location_assignment PIN_AD26 -to ledg[0] set_location_assignment PIN_AD25 -to ledg[1] set_location_assignment PIN_AC25 -to ledg[2] set_location_assignment PIN_AC24 -to ledg[3] set_location_assignment PIN_AB24 -to ledg[4] set_location_assignment PIN_AB23 -to ledg[5] set_location_assignment PIN_AB26 -to ledg[6] set_location_assignment PIN_AB25 -to ledg[7] # USER KEYS ========================================= set_location_assignment PIN_W24 -to user_pb[0] set_location_assignment PIN_W23 -to user_pb[1] set_location_assignment PIN_Y24 -to user_pb[2] set_location_assignment PIN_Y23 -to user_pb[3] 13
14 incompleto... 14
15 Bibliografia Quartus II Handbook Version 12.1 Volume 2: Design Implementation and Optimization, Altera Corp., Karim, M.A., Chen, X., Projeto Digital: Conceitos e Princípios Básicos, LTC,
PROJETO DE CIRCUITOS INTEGRADOS VLSI
Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013
Leia maisFigura 01 Visão Geral da Placa
1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e
Leia maisDesenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues
UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento
Leia maisInstituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21
Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos
Leia maisSistemas Digitais. Tutorial Placa de Prototipação - Altera
Sistemas Digitais Tutorial Placa de Prototipação - Altera Monitoria SD 2012.1 Daniel Alexandro/Vanessa Ogg/Gustavo Benevides/Gilliano Ginno/Sidney Marlon Editado por (DARA) Placa Altera 1 Antes de Usar
Leia maisSSC 741 - Projeto e Implementação de Sistemas Embarcados I
INSTITUTO DE CIÊNCIAS MATEMÁTICAS E DE COMPUTAÇÃO ICMC UNIVERSIDADE DE SÃO PAULO - USP SSC 741 - Projeto e Implementação de Sistemas Embarcados I Projeto Final Responsáveis: Prof. Dr. Eduardo Marques e
Leia maisLABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores
45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,
Leia mais3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência
3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito
Leia maisOrganização e Arquitetura de Computadores II
Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de
Leia maisPIC18F4550. Curso Engenharia de Controle e Automação. Alex Vidigal Bastos www.decom.ufop.br/alex/ alexvbh@gmail.com
PIC18F4550 Curso Engenharia de Controle e Automação Alex Vidigal Bastos www.decom.ufop.br/alex/ alexvbh@gmail.com 1 Agenda Características do PIC18F4550 Pinagem do PIC18F4550 Pinagem do PIC18F4550 Datasheet
Leia maisProgramação em BASIC para o PIC Mostrando Mensagens no Display LCD Vitor Amadeu Souza
Programação em BASIC para o PIC Mostrando Mensagens no Display LCD Vitor Amadeu Souza Introdução Nesta terceira série de artigos que aborda a programação na linguagem BASIC para o microcontrolador PIC,
Leia maisParallel to Serial Shifting IN
Parallel to Serial Shifting IN Objectivo: Com o circuito integrado CD4021B Quando num projecto o número de sensores digitais é superior ao número de pinos de entradas digitais disponíveis no microcontrolador
Leia maisDISCIPLINA: Sistemas Digitais
DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso
Leia maisComunicação Serial com o AVR ATMEGA8
Comunicação Serial com o AVR ATMEGA8 Vitor Amadeu Souza vitor@cerne-tec.com.br Introdução Os microcontroladores AVR vem a cada dia tomando cada vez mais espaço nos novos projetos eletrônicos microcontrolados.
Leia maisProjecto e Controlo em Lógica Digital
Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para
Leia maisELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica
Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito
Leia maisPROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA
PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA (PIBIC/CNPq/INPE) Yegor Gomes de Mello (UFRN, Bolsista PIBIC/CNPq) E-mail: yegor_melo@crn.inpe.br
Leia maisEPUSP PCS 2355 Laboratório Digital. Contadores em VHDL
Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos
Leia maisLABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan
LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A
Leia maisDisciplina: Laboratório de Circuitos Digitais
Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián
Leia maisDisciplina: Laboratório de Circuitos Digitais
Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián
Leia maisProgramação de CPLDs no ambiente ISE 4.2i da Xilinx
Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a
Leia maisAULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.
AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,
Leia maisDESENVOLVIMENTO DE UMA BIBLIOTECA PARA COMUNICAÇÃO COM A CAMADA FÍSICA USB PADRÃO ULPI
UNIVERSIDADE FEDERAL DE PERNAMBUCO GRADUAÇÃO EM ENGENHARIA DA COMPUTAÇÃO CENTRO DE INFORMÁTICA DESENVOLVIMENTO DE UMA BIBLIOTECA PARA COMUNICAÇÃO COM A CAMADA FÍSICA USB PADRÃO ULPI PROPOSTA DE TRABALHO
Leia maisImplementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera
Implementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera Ricardo Menotti Orientador: Prof. Dr. Eduardo Marques Instituto de Ciências Matemáticas e de Computação
Leia maisCurso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase
Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia
Leia maisUNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP
UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução
Leia maisELT601 Eletrônica Digital II
Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Dispositivos Lógicos Programáveis (DLPs) Prof. Rodrigo de Paula Rodrigues DLP Conteto Grau de integração EIB - SSI EIM - MSI EIA
Leia maisA idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo.
Circuito de gravação (AVR programmer) Introdução Nossa proposta, nesta parte do trabalho, é apresentar um circuito para gravação ISP (In-System- Programming) para microcontroladores AVR. Este circuito,
Leia maisProgramação em BASIC para o PIC Projetos com Display Gráfico Vitor Amadeu Souza
Programação em BASIC para o PIC Projetos com Display Gráfico Vitor Amadeu Souza Introdução No artigo desta edição, veremos os passos para controlar um dispaly gráfico de 128 x 64 pixels. A idéia será apresentar
Leia maisPrototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo
Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs
Leia mais- SISTEMAS DIGITAIS II
FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a
Leia maisCircuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.
Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM
Leia maisCurso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase
Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia
Leia maisCAPÍTULO 7 DECODIFICADORES
1 CAPÍTULO 7 DECODIFICADORES INTRODUÇÃO Um decodificador é um circuito que tem N entradas binárias e M linhas de saídas (sendo M 2 N ), de modo que somente uma linha de saída M é ativada por vez para cada
Leia maisMedidor da temperatura local
Objectivo: Medidor da temperatura local (Web Server) Disponibilizar numa página da internet a leitura da temperatura local, de forma automática e on-line. O sistema consiste num microprocessador devidamente
Leia maisDisciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.
Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável
Leia maisDECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH
UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo
Leia maisImplementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete
- 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL
Leia maisProjeto Dirigido: Genius
Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía
Leia maisInformática Aplicada
Informática Aplicada Conceitos Aula 2 Prof. Walteno Martins Parreira Jr www.waltenomartins.com.br waltenomartins@yahoo.com 2013 Esquema Geral do Computador HARDWARE; SOFTWARE. Prof. Walteno Martins Parreira
Leia maisO diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.
14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema
Leia maisLab 3. Timing Timing Constraints Simulação
Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais
Leia maisCiclo de Seminários Técnicos
A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação
Leia maisProfª Danielle Casillo
UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Automação e Controle Aula 07 Linguagem Ladder Profª Danielle Casillo A LINGUAGEM LADDER Foi a primeira que surgiu para programação
Leia maisPROCESSO DE ENSINO-APRENDIZAGEM ATRAVÉS DE UM TRABALHO DE CONCLUSÃO DE CURSO
PROCESSO DE ENSINO-APRENDIZAGEM ATRAVÉS DE UM TRABALHO DE CONCLUSÃO DE CURSO Alexandre A. de Almeida e-mail: aamaralalmeida@gmail.com UNESP, Departamento de Engenharia Elétrica DEE Endereço: Av. Brasil
Leia maisIntrodução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2
Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone
Leia maisPROJETO DE CIRCUITOS INTEGRADOS VLSI
Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Verificação de Sistemas VLSI Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita
Leia maisIntrodução ao Projeto de Sistemas Digitais com Dispositivos Programáveis
Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais
Leia maisDesenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues
UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento
Leia maisIntrodução ao Projeto de Sistemas Digitais com Dispositivos Programáveis
Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs
Leia maisLABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital
2014.02 LABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital Nome do Aluno(a) I PRIMEIROS CONTATOS COM A I.1- Introdução Na primeira parte das atividades deste roteiro o aluno entrará
Leia maisOs dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que
Dispositivos Lógicos Programáveis (PLD) Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que possui um grande número de portas lógicas
Leia maisCONTROLE DE UM SERVO MOTOR
CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades
Leia maisProjeto No. 11 Display de Leds de 7 Segmentos
Projeto No. 11 Display de Leds de 7 Segmentos O objetivo deste projeto é demonstrar a utilização do display de led de 7 segmentos controlado diretamente a partir das portas do Arduino. Material necessário:
Leia maisMáquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.
Máquina de Estados Transições Estados Em Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (rad) O que é? É uma modelagem de um comportamento, composto por estados, transições
Leia maisFundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno
Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem
Leia maisMáquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino
Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.
Leia maisPROJETO. Ponte Digital. http://www.pontedigital.hpg.ig.com.br/ Luciano Daniel Amarante - carabina@pop.com.br Ricardo Watzko - rw@netuno.com.
Ponte levadiça digital... Projeto semestral primeira fase de 2003 Engenharia de Computação 4 período Em breve aqui novos projetos... Página inicial Pré-projeto Projeto FOTOS e Vídeos Funcionamento Esboços
Leia maisPráticas de laboratório de Eletrônica Digital
Universidade Federal de Uberlândia Práticas de laboratório de Eletrônica Digital Prof. Dr. Alan Petrônio Pinheiro Faculdade de Engenharia Elétrica Curso de Engenharia Eletrônica e de Telecomunicações (campus
Leia maisELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica
ELT6 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Máquinas de estados finitos Prof. Rodrigo de Paula Rodrigues ircuitos sequenciais Q Q Q Q
Leia maisUNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista
UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista EXPERIMENTO 1 INTRODUÇÃO AO LABORATÓRIO A. Introdução O Quartus II é um software utilizado para
Leia maisDisciplina: Laboratório de Circuitos Digitais
Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 9ª Pratica: Computador de 16 bits Data:17/07/2014 Nome: Adrián Agüero Faraldo RA: 612227
Leia maisOrganização e Arquitetura de Computadores I
Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware
Leia maisEXPERIÊNCIA 2 PORTAS LÓGICAS BÁSICAS E UNIVERSAIS
MEC UTFPR-CT DAELT CURSO: ENGENHARIA INDUSTRIAL ELÉTRICA DISCIPLINA: ELETRÔNICA DIGITAL PROF.: EXPERIÊNCIA 2 PORTAS LÓGICAS BÁSICAS E UNIVERSAIS DATA REALIZAÇÃO: DATA ENTREGA: ALUNOS: 1. Introdução 1.1
Leia maisIntrodução ao Projeto de Sistemas Digitais com Dispositivos Programáveis
Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a
Leia maisIMPLEMENTAÇÃO DE UM SISTEMA DE SELEÇÃO DE PEÇA USANDO CONCEITOS DE PROGRAMAÇÃO DE SISTEMA DE AUTOMAÇÃO. João Alvarez Peixoto*
IMPLEMENTAÇÃO DE UM SISTEMA DE SELEÇÃO DE PEÇA USANDO CONCEITOS DE PROGRAMAÇÃO DE SISTEMA DE AUTOMAÇÃO João Alvarez Peixoto* * Mestrando do Programa de Pós-graduação em Engenharia Elétrica - UFRGS Porto
Leia maisIntrodução ao Altera DE2. Edson Midorikawa
Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board
Leia maisEA773 - Experimento 5
EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos
Leia mais1 Título. 2 Objetivos. 3 - Fundamentos Teóricos. Página 1 de 5 Universidade Federal de Juiz de Fora. Prática 1 Aplicações das Funções Lógicas
Página 1 de 5 1 Título Prática 1 Aplicações das Funções Lógicas 2 Objetivos Identificação do encapsulamento dos Circuitos Integrados (CIs) que serão utilizados no decorrer das aulas práticas, interpretação
Leia maisUNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE
P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:
Leia maisEA079 Laboratório de Micro e Minicomputadores: Hardware Laboratório III
EA079 Laboratório de Micro e Minicomputadores: Hardware Laboratório III Projeto de Interface com o Teclado 1 Objetivo do Laboratório III 1. Entender as características elétricas e funcionais do pino IRQ.
Leia maisSISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS
CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO
Leia maisTreinamento em Projeto de Sistemas Digitais
Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais
Leia maiswww.cerne-tec.com.br
1 Cerne Tecnologia e Treinamento Apostila de Programação para a Família HC908 Com base no microcontrolador MC68HC908QY4 (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com
Leia maisCerne Tecnologia e Treinamento
Tecnologia e Treinamento Tutorial para Testes na Placa GSM I/O (21)3062-1711 (11)4063-1877 Skype: suporte.cerne-tec MSN: suporte.cerne-tec@hotmail.com www.cerne-tec.com.br Kits Didáticos e Gravadores da
Leia maisSISTEMAS EMBARCADOS. Petiano: Yuri Gonzaga G. da Costa
SISTEMAS EMBARCADOS Petiano: Yuri Gonzaga G. da Costa ÍNDICE História O que são Sistemas Embarcados? Exemplos e Aplicações Arquitetura Conceitual Implementação de Projetos Futuro dos Sistemas Embarcados
Leia maisManual de Instruções para a Placa de Testes da FPGA Max3064A da Altera
Instituto Superior de Engenharia do Porto ISEP Manual de Instruções para a Placa de Testes da FPGA Max304A da Altera Ano lectivo 2004/2005 3º do Bacharelato do curso de Engenharia Electrotécnica Electrónica
Leia maisDesenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela
Desenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela Pedro H. M. Araújo 1, Renan P. Figueiredo 1, Douglas L. Dias 1, Sandro C. S. Jucá 1 1 Área da Telemática Instituto Federal
Leia maisIMPLEMENTAÇÃO DE PROCESSADOR DIGITAL BASEADO EM LÓGICA FUZZY USANDO UM FPGA
IMPLEMENTAÇÃO DE PROCESSADOR DIGITAL BASEADO EM LÓGICA FUZZY USANDO UM FPGA Leonardo Mesquita Paloma Maria Silva Rocha Rizol mesquita@feg.unesp.br paloma@feg.unesp.br Departamento de Engenharia Elétrica,
Leia maisINTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES
INTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES Matheus Montanini Breve (PIBIC-Jr), Miguel Angel Chincaro Bernuy (Orientador), e-mail: migueltrabalho@gmail.com Universidade Tecnológica
Leia maisJAVA VIRTUAL MACHINE EM FPGA
UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÓGICAS ENGENHARIA DA COMPUTAÇÃO JAVA VIRTUAL MACHINE EM FPGA Felipe S Andrade Monografia apresentada à disciplina de Trabalho de Conclusão de Curso
Leia maisADC0804 (CONVERSOR A/D)
ADC0804 (CONVERSOR A/D) Getulio Teruo Tateoki O conversor Analógico Digital ADC0804é um Circuito Integrado da National Semicondutores, capaz de converter uma amostra analógica entre 0 e 5V, em um valor
Leia maisPROJETO DE CIRCUITOS INTEGRADOS VLSI
Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Sistemas VLSI Digitais e Dispositivos de Lógica Programável Prof. Marcos Zurita zurita@ufpi.edu.br
Leia maisUNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico
Unidade Universitária: Escola de Engenharia Curso: Engenharia Elétrica e Eletrônica Disciplina: Dispositivos Lógicos Programáveis Núcleo Temático: Sistemas Digitais Código da Disciplina: 161.1654.2 Professor:
Leia maisDATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:
DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica
Leia maisMestrado em Engenharia Electrotécnica e de Computadores
Mestrado em Engenharia Electrotécnica e de Computadores Projecto de Circuitos e Sistemas Digitais (2000/01) Gerador digital de tons DTMF (Dual-Tone Multi-Frequency) para codificação de dígitos na linha
Leia maisMINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke
MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......
Leia maisDepartamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa
Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio
Leia maisMINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke
MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...
Leia maisProcessadores. Prof. Alexandre Beletti Ferreira
Processadores Prof. Alexandre Beletti Ferreira Introdução O processador é um circuito integrado de controle das funções de cálculos e tomadas de decisão de um computador. Também é chamado de cérebro do
Leia maisManual (versão 1.4) Kit 8051 Study
Manual (versão 1.4) Kit 8051 Study Microgenios Soluções Eletrônicas Ltda. ME - ano 2010 Todos os direitos reservados Proibida cópia integral ou parcial do material aqui contido www.microgenios.com.br Sumário
Leia maisCerne Tecnologia e Treinamento. E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec. www.cerne-tec.com.br
Cerne Tecnologia e Treinamento Tutorial de Instalação do Medidor de RPM (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits
Leia maisCircuitos Digitais 1
UNESP UNIVERSIDADE ESTADUAL PAULISTA ENGENHARIA DE CONTROLE E AUTOMAÇÃO CAMPUS DE SOROCABA Circuitos Digitais 1 - Prática de Laboratório - Simulação de decodificador para display de 7 segmentos Prof Dr
Leia maisEPUSP PCS 2011/2305/2355 Laboratório Digital. Displays
Displays Versão 2015 1. Displays em Circuitos Digitais É inegável a importância de displays, pois são muito utilizados nos mais variados equipamentos de todas as áreas, como por exemplo, de instrumentação
Leia maisIntrodução a Informática. Prof.: Roberto Franciscatto
Introdução a Informática Prof.: Roberto Franciscatto 3.1 EXECUÇÃO DAS INSTRUÇÕES A UCP tem duas seções: Unidade de Controle Unidade Lógica e Aritmética Um programa se caracteriza por: uma série de instruções
Leia maisProf. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h
Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Abstração do Mundo Real A Maioria das pessoas quando utilizam um computador para jogar ou ver um filme, não sabe exatamente o que acontece dentro
Leia maisBoletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008
Boletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008 O objetivo deste boletim é mostrar as características do driver MPC6006L. Tópicos abordados neste boletim: APRESENTAÇÃO
Leia maisInstalação: permite baixar o pacote de instalação do agente de coleta do sistema.
O que é o projeto O PROINFODATA - programa de coleta de dados do projeto ProInfo/MEC de inclusão digital nas escolas públicas brasileiras tem como objetivo acompanhar o estado de funcionamento dos laboratórios
Leia mais