4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

Tamanho: px
Começar a partir da página:

Download "4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL"

Transcrição

1 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware Description Language, no contexto do programa americano Very High Speed Integrated Circuits (VHSIC), iniciado em A linguagem VHDL permite particionar o sistema em diferentes níveis de abstração, quais sejam: Nível de sistema; Nível de transferência entre registradores (RT level); Nível lógico; Nível de circuito. Permite três diferentes domínios de descrição: Comportamental; Estrutural; Físico. Níveis de abstração e descrição 1) Nível de sistema: Descrição comportamental: algoritmos; Descrição estrutural: processadores e memórias; Descrição física: boards e chips. 2) Nível RT (Transfer Register): Descrição comportamental: transferências entre registradores; Descrição estrutural: registradores, unidades funcionais e multiplexadores; Descrição física: chips e módulos. 3) Nível Lógico: Descrição comportamental: equações booleanas; Descrição estrutural: gates e flip-flops; Descrição física: módulos e células. 4)Nível de Circuito: Descrição comportamental: funções de transferência; Descrição estrutural: transistores e conexões; Descrição física: células e segmentos do circuito. 1

2 Comentários, Notações e Estruturas na Linguagem VHDL Os comentários em VHDL ocorrem após dois traços - -. Os caracteres maiúsculos e minúsculos não tem distinção em VHDL. Os nomes de variáveis devem iniciar-se com letras alfabéticas, sendo possível utilizar também dígitos numéricos e _. O caracter _ não pode ser usado duplicado, e nem no final de um nome. A estrutura básica de um programa em VHDL é composta de três elementos: As primeiras informações contidas num programa VHDL é a declaração das bibliotecas library (ies) usada no projeto. Várias funções e tipos básicos são armazenados em bibliotecas. A biblioteca IEEE é sempre incluída. Ex: Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; Observações: 1) A declaração Library IEEE é usada para definir a biblioteca IEEE; 2) A declaração use IEEE.std_logic_1164.all é necessária para usar os dados correspondentes à lógica padrão da biblioteca; 3) A declaração use IEEE.std_logic_unsigned.all é necessária para realizar a aritmética não sinalizada. O entity (entidade) define a interface (port) do projeto, através dos pinos de entrada (in) e saída (out) e o tipo do sinal correspondente, no seguinte formato: entity nome_da_entity is port ( Declaração dos pinos ); end [nome_da_entity] ; Exemplo: entity COMPARA is port ( A,B : in std_logic; C: out std_logic); end COMPARA; 2

3 A architecture define a lógica do circuito e pode ser composta dos seguintes elementos: a) component b) signal c) lógica Sendo component e signal declarações de componentes e sinais intermediários opcionais. O formato para a descrição da arquitetura é o seguinte: Architecture nome_da_architecture of nome_da_entity is Declarações opcionais (component e signal) begin end [nome_da_architecture]; No ambiente do editor de texto do software Quartus II, é possível criar projetos utilizando uma das seguintes linguagens de descrição de hardware: AHDL, VHDL, HDL e Verilog. Vamos criar um projeto completo em que utilizamos a linguagem VHDL. Vamos estudar a edição, síntese e simulação do projeto de um somador digital de 16 bits, conforme apresenta a Figura Para criar um projeto, selecione no menu de arquivos (File) a opção New Project Wizard. A primeira vez que você abre um novo projeto, uma tela introdutória é apresentada, conforme vimos anteriormente no editor gráfico para informação de dados básicos sobre o projeto. Na primeira janela do New Project Wizard digite o nome do diretório de trabalho do seu projeto ou localize-o por meio do botão (Browse), clicando, por exemplo, em C:\altera\91sp2\quartus\projetos_01. Digite o nome do projeto na caixa de diálogo correspondente, com o nome de adder, e digite esse mesmo nome como nome da entidade de mais alto nível de projeto na caixa de diálogo correspondente. Clique em Next. A janela dois do New Project Wizard não necessita ser preenchida; pois nesse caso, não existe nenhum arquivo de projeto, nenhuma fonte de arquivo ou biblioteca a ser incluída. Clique em Next. A janela três seleciona uma família de dispositivos de fabricação da empresa Altera, que será utilizada no projeto; vão surgir diversas famílias de FPGAs e CLPDs; selecione a 3

4 família Cyclone II. O dispositivo EP2C35F672C6 será selecionado automaticamente. Clique em Next. Na janela quatro não marque nenhuma opção, pois esse projeto só usa ferramentas do software Quartus II, não outras ferramentas EDA. Clique em Next. A janela cinco é a última do New Project Wizard e apresenta um resumo dos dados informados sobre o projeto: Diretório de projeto: C:/altera/90/quartus/projetos_01 Nome do projeto: adder Entidade de projeto de nível mais alto: adder Número de arquivos acrescentados: 0 Número de bibliotecas de usuário acrescentadas: 0 Dispositivo escolhido: Nome da família: Cyclone II Dispositivo: EP2C35F672C6 Ferramentas EDA: Entrada de projeto/síntese: <Nenhuma> Simulação: <Nenhuma> Análise de tempo: <Nenhuma> Clique no botão Finish e a criação do projeto está encerrada. Note que o nome do projeto no topo da janela principal do navegador é modificado para o nome da entidade de nível mais alto, C:/altera/91sp2/quartus/projetos_01/ adder-adder. Na janela principal selecione no menu de arquivos (File), a opção novo (New). Será aberta uma caixa de diálogo, selecione a opção VHDL File e clique no botão OK. Surge uma janela denominada Vhdl1.vhd, em que será editado o programa em VHDL. A tela do editor de texto oferece uma barra de ferramentas com diversas opções. Clique na figura (Insert Template). Abre-se uma janela denominada Insert Template, onde estão disponíveis diversos modelos de templates (declarações) correspondentes às estruturas de programas escritos em VerilogHDL, Verilog, VHDL, AHDL, Quartus II TCL, TimeQuest e Megafunçoes. Selecione as opções apresentadas na sequência: (i) VHDL; (ii) Full Designs; (iii) Arithmetic; (iv) Adder; (v) Unsigned Adder. Um exemplo de modelo (Template) VHDL de um somador aparece na janela Preview. Esses modelos são de grande ajuda na edição de programas em VHDL. A Figura 2.23 apresenta um modelo de somador não sinalizado em VHDL. 4

5 Pressione o botão Insert. Uma cópia do modelo é transferida para a janela do editor de texto. Clique no botão Close e feche a janela do Insert Template. Inicialmente se deve declarar a biblioteca, seguida da entidade e, finalmente, a arquitetura; utilize as mesmas entradas (A e B) e saída (Soma) do diagrama da Figura A Figura 2.24 apresenta o programa escrito em VHDL no editor de texto. O projeto deve ser salvo e compilado para verificação da existência de erros básicos de sintaxe e semântica. Vá para o menu de arquivo (File) e escolha Salvar Como. Salve o novo arquivo texto VHDL como adder. Para compilar o projeto, acesse o menu Processing, selecione a opção Star Compilation, ou clique no botão localizado na barra de ferramentas. Será aberta uma janela, conforme mostra a Figura Caso haja problemas na compilação, surge uma caixa de diálogo com a seguinte mensagem: "Full Compilation was NOT successfull". 5

6 Então verifique a caixa de mensagens de erros, na parte inferior da janela, e selecione uma mensagem de erro; por exemplo, "Error: Top-level design entity "adder" is undefined". Esse tipo de erro é muito comum no software Quartus II, pois o nome do projeto tem que ser o nome da arquitetura; caso contrário, ocorrerá erro de compilação. Para solucionar o problema, volte à tela de edição do programa, parte superior, clique no arquivo adder.vhd e altere as linhas 9 e 25 do programa para: Linha 9: entity adder is Linha 25: architecture rtl of adder is Compile o projeto novamente, menu Processing, selecione a opção Star Compilation. Caso não haja mais problemas na compilação, surge uma caixa de diálogo com a seguinte mensagem: "Full Compilation was successfull". Então clique em OK. A simulação funcional verifica os resultados de saída do circuito de acordo com as suas entradas. Deve-se criar um arquivo de estímulo para verificar a funcionalidade do projeto. A criação de um arquivo de estímulo deve seguir algumas etapas. Inicialmente acesse o menu Assignments e selecione a opção Settings. Surge uma janela, conforme indica a Figura Na seção Category escolha a opção Simulator e surge a janela do simulador. Na seção modo de simulação (Simulation mode) selecione funcional (Functional). Clique em OK. Uma vez que o modo de simulação funcional foi ativado, para criar o arquivo de estímulos acesse o menu File na barra de ferramentas da janela principal e selecione New. Na caixa de diálogo escolha Vector waveform file. Clique em OK. Surge a janela do Editor de Forma de Ondas, arquivo com extensão.vwf, na qual será realizada a simulação funcional do projeto. Para inserir as entradas e a saída (nodos) do projeto, na janela do Editor de Forma de Ondas acesse o menu Edit e selecione a opção Insert Node or Bus. Na caixa de diálogo clique no botão Node Finder. Surge a janela Node Finder. Então selecione em Filter a opção Pins: all. Pressionando o botão List, no quadro Nodes Found aparecem as entradas e a saída do projeto, identificadas com seus respectivos nomes. Selecione as entradas e a saída (nodos) e clique na seta à direita. As entradas e a saída aparecem no quadro Selected Nodes. Pressione OK, a janela Node Finder se fecha. Pressione novamente OK. A Figura 2.27 mostra a seleção das entradas e a saída do projeto. Na janela Editor de Formas de Ondas aparecem as entradas e a saída selecionadas, que serão simuladas. Agora vamos atribuir formas de ondas às entradas para verificar a saída. Selecione uma das entradas, clicando duas vezes no nome da entrada, a linha toda será selecionada. Surge a janela Node Properties. Selecione em Radix a opção decimal sinalizado (Signed Decimal) para as entradas A e B. Selecione binário, por exemplo, para a saída Soma. Clique em OK. Na janela do Editor de Forma de Ondas, na barra vertical de ferramentas, selecione para as entradas A e B o botão, opção Count value, correspondente a um gerador de onda quadrada. A janela Count Value se abre, mostrando várias informações sobre o sinal que será gerado. Para este caso, mantenha os valores default. Para visualizar melhor o sinal de estímulo das entradas A e B, selecione na barra de ferramentas o botão Zoom e clique na janela do Editor de Forma de Ondas (botão esquerdo do mouse aumenta a visualização e o botão direito diminui). A Figura

7 apresenta os sinais de estímulos de entrada na janela do Editor de Formas de Ondas. Antes da simulação das entradas e saídas do arquivo waveform.vwf, é necessário criar o arquivo de sinais de estímulos. Para tanto, selecione na barra de ferramentas o menu Processing e escolha a opção Generate Functional Simulation Netlist. Salve as alterações do arquivo waveform1.vwf. Clique em OK. Salve o arquivo waveform1.vwf como adder.clique em OK. Caso não haja nenhum erro com o arquivo de estímulos criado, aparece uma janela com a mensagem "Functional Simulate Netlist was successful". Clique em OK. Para compilar e simular o arquivo adder.vwf, selecione no menu Processing a opção Start Simulation ou clique no botão. Se a compilação ocorrer sem nenhum erro, a mensagem "Simulator was successful" surge na janela do Editor de Formas de Ondas. Clique em OK. O resultado da saída Soma é apresentado em binário, pois anteriormente na janela Node Properties, em Radix foi escolhida a opção binário. Se fosse escolhida a opção decimal sinalizado (Signed Decimal), a saída Soma seria dada em decimal como as entradas A e B. Para criar um símbolo gráfico, arquivo adder.bsf, que represente o arquivo adder.vhd corrente, na barra de ferramentas no menu File, escolha a opção Create/Update, na janela aberta selecione a opção Create Symbol Files for Current File, surge outra janela com a mensagem Created Block Symbol File adder. Clique em OK. 7

8 Para inserir o símbolo gráfico criado, arquivo adder.bsf, em um novo projeto, basta proceder como visto anteriormente neste capítulo, ou seja, como se fosse inserir um componente lógico qualquer. O símbolo gráfico criado adder agora faz parte da biblioteca (library) do software Quartus II. A Figura 2.30 apresenta o símbolo gráfico adder criado. A configuração dos pinos do dispositivo FPGA deve ser realizada para definir o posicionamento dos pinos. A configuração das posições dos pinos pode ser obtida no menu Assignments, opção Assignments Editor, Category Pins, como visto anteriormente no editor gráfico. A Figura 2.31 mostra a configuração dos pinos do dispositivo FPGA. Salve a nova configuração dos pinos do FPGA e compile o projeto novamente. 8

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento de Engenharia

Leia mais

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Portas Lógicas Básicas: Parte 2 Simulação Lógica Laboratório 1 - continuação Portas Lógicas Básicas: Parte 2 Simulação Lógica 5. Introdução à Simulação Lógica Neste laboratório, você tomará contato com a ferramenta de projeto digital Max+Plus II, da

Leia mais

Usando o simulador MIPS

Usando o simulador MIPS Usando o simulador MIPS O objetivo desta aula prática será a utilização do simulador MipsIt para executar programas escritos em linguagem de máquina do MIPS. 1 Criando um projeto Cada programa a ser executado

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

TUTORIAL DE UTILIZAÇÃO DO PROTEUS

TUTORIAL DE UTILIZAÇÃO DO PROTEUS 1 Para iniciar a edição de um esquema elétrico para posterior simulação, busque no menu Iniciar do Windows, a opção Todos os Programas. Localize a pasta onde foi instalado o Proteus e selecione o programa

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7. UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.21 - ESTUDANTE Prof. Dr. José Renes Pinheiro Prof. Dr. Hélio Leães

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Modo Estrutura é o ambiente de definição e estruturação dos campos, tipos de dados, descrição e propriedades do campo.

Modo Estrutura é o ambiente de definição e estruturação dos campos, tipos de dados, descrição e propriedades do campo. Unidade 02 A- Iniciando o Trabalho com o ACCESS: Criar e Salvar um Banco de Dados Acessar o ACCESS Criar e Salvar o Banco de Dados Locadora Encerrar o Banco de Dados e o Access Criando um Banco de Dados

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista EXPERIMENTO 1 INTRODUÇÃO AO LABORATÓRIO A. Introdução O Quartus II é um software utilizado para

Leia mais

Clique no botão novo

Clique no botão novo Tutorial para uso do programa Edilim Criado pela profª Carina Turk de Almeida Correa 1- Abra o programa Edilim. Clique no botão novo, como mostrado abaixo: Clique no botão novo 2- Todos os arquivos a serem

Leia mais

MANUAL ZEDIT 32 Índice:

MANUAL ZEDIT 32 Índice: MANUAL ZEDIT 32 Índice: Configuração Painel -------------------------------------------------------------------- 02 à 04 Criar Novo modelo Painel -------------------------------------------------------------

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Esse manual é um conjunto de perguntas e respostas para usuários(as) do Joomla! 1.5.

Esse manual é um conjunto de perguntas e respostas para usuários(as) do Joomla! 1.5. Esse manual é um conjunto de perguntas e respostas para usuários(as) do Joomla! 1.5. Ele considera que você já tem o Joomla! instalado no seu computador. Caso você queira utilizá lo em um servidor na web,

Leia mais

Manual do Usuário. Minha Biblioteca

Manual do Usuário. Minha Biblioteca Manual do Usuário Minha Biblioteca Sumário Acesso a Minha Biblioteca... 3 Tela Principal... 3 Para que serve o ícone Minha Biblioteca?... 3 O que você encontra no campo Pesquisar?... 4 Quando utilizar

Leia mais

Microsoft Office Excel 2007

Microsoft Office Excel 2007 1 Microsoft Office Excel 2007 O Excel é um programa dedicado a criação de planilhas de cálculos, além de fornecer gráficos, função de banco de dados e outros. 1. Layout do Excel 2007 O Microsoft Excel

Leia mais

Programação Básica em STEP 7 Símbolos. SITRAIN Training for Automation and Drives Página 4-1

Programação Básica em STEP 7 Símbolos. SITRAIN Training for Automation and Drives Página 4-1 Conteúdo Pág. Endereçamento Absoluto e Simbólico... 2 Endereçamento Absoluto - Panorâmica... 3 Abrindo a Tabela de...... 4 Editar: Procurar e Substituir... 5 Visualizar: Filtro... 6 Visualizar: Ordenar...

Leia mais

Sistemas Digitais. Tutorial Placa de Prototipação - Altera

Sistemas Digitais. Tutorial Placa de Prototipação - Altera Sistemas Digitais Tutorial Placa de Prototipação - Altera Monitoria SD 2012.1 Daniel Alexandro/Vanessa Ogg/Gustavo Benevides/Gilliano Ginno/Sidney Marlon Editado por (DARA) Placa Altera 1 Antes de Usar

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

O Primeiro Programa em Visual Studio.net

O Primeiro Programa em Visual Studio.net O Primeiro Programa em Visual Studio.net Já examinamos o primeiro programa escrito em C que servirá de ponto de partida para todos os demais exemplos e exercícios do curso. Agora, aprenderemos como utilizar

Leia mais

PRINCÍPIOS DE INFORMÁTICA PRÁTICA 08 1. OBJETIVO 2. BASE TEÓRICA. 2.1 Criando Mapas no Excel. 2.2 Utilizando o Mapa

PRINCÍPIOS DE INFORMÁTICA PRÁTICA 08 1. OBJETIVO 2. BASE TEÓRICA. 2.1 Criando Mapas no Excel. 2.2 Utilizando o Mapa PRINCÍPIOS DE INFORMÁTICA PRÁTICA 08 1. OBJETIVO Aprender a utilizar mapas, colocar filtros em tabelas e a criar tabelas e gráficos dinâmicos no MS-Excel. Esse roteiro foi escrito inicialmente para o Excel

Leia mais

Programação de Computadores I. Conhecendo a IDE Code::Blocks

Programação de Computadores I. Conhecendo a IDE Code::Blocks Code::Blocks Conhecendo a IDE Programação de Computadores I Professor: Edwar Saliba Júnior Conhecendo a IDE Code::Blocks Apresentação: 1) Abra a IDE (Integrated Development Environment), ou seja, o Ambiente

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 - Compilando VHDL com o ALTERA MAX

Leia mais

Professora Martha Spalenza Professora de Informática da Faetec

Professora Martha Spalenza Professora de Informática da Faetec Ambiente de Desenvolvimento JCreator Como criar um programa utilizando o JCreator O kit de programas da linguagem Java fornecido pela Sun Microsystems, a criadora do Java, compõe-se do compilador (o gerador

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

A Linguagem Pascal e o Ambiente de Programação Dev-Pascal. Introdução à Ciência da Computação I

A Linguagem Pascal e o Ambiente de Programação Dev-Pascal. Introdução à Ciência da Computação I A Linguagem Pascal e o Ambiente de Programação Dev-Pascal Introdução à Ciência da Computação I Março/2004 Introdução (1 de 3) Homenagem a Blaise Pascal, filósofo e matemático do século XVII. Desenvolvida

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Funções básicas Cronograma Cronograma Funções Básicas

Funções básicas Cronograma Cronograma Funções Básicas Funções Básicas Fevereiro 2015 - 1) Selecionar o menu Portfólio > Projetos, clique para janela projetos abrir. 2) Selecione botão incluir para abrir um projeto. Preencha os campos obrigatórios nos Dados

Leia mais

DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO

DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO Esse material contém algumas dicas que irão ajudar na confecção de placas de circuito impresso. Também foram incluídos os passos necessários para se

Leia mais

Word 1 - Introdução 1.1 - Principais recursos do word 1.2 - A janela de documento 1.3 - Tela principal

Word 1 - Introdução 1.1 - Principais recursos do word 1.2 - A janela de documento 1.3 - Tela principal Word 1 - Introdução O Word para Windows ou NT, é um processador de textos cuja finalidade é a de nos ajudar a trabalhar de maneira mais eficiente tanto na elaboração de documentos simples, quanto naqueles

Leia mais

Manual de Instalação. SafeSign Standard 3.0.77. (Para MAC OS 10.7)

Manual de Instalação. SafeSign Standard 3.0.77. (Para MAC OS 10.7) SafeSign Standard 3.0.77 (Para MAC OS 10.7) 2/23 Sumário 1 Introdução... 3 2 Pré-Requisitos Para Instalação... 3 3 Ambientes Homologados... 4 4 Hardware Homologado... 4 5 Instruções de Instalação... 5

Leia mais

Fluxo de trabalho do Capture Pro Software: Indexação de OCR e separação de documentos de código de correção

Fluxo de trabalho do Capture Pro Software: Indexação de OCR e separação de documentos de código de correção Este procedimento corresponde ao fluxo de trabalho de Indexação de OCR com separação de código de correção no programa de treinamento do Capture Pro Software. As etapas do procedimento encontram-se na

Leia mais

Iniciando o MySQL Query Brower

Iniciando o MySQL Query Brower MySQL Query Brower O MySQL Query Browser é uma ferramenta gráfica fornecida pela MySQL AB para criar, executar e otimizar solicitações SQL em um ambiente gráfico. Assim como o MySQL Administrator foi criado

Leia mais

Simulador do Processador Neander T&D-Bench Simulator Manual do Usuário. por Júlio César Maccali. revisado por Prof. Christian Zambenedetti

Simulador do Processador Neander T&D-Bench Simulator Manual do Usuário. por Júlio César Maccali. revisado por Prof. Christian Zambenedetti UNIVERSIDADE DE CAXIAS DO SUL CAMPUS UNIVERSITÁRIO DA REGIÃO DOS VINHEDOS CENTRO DE CIÊNCIAS EXATAS, DA NATUREZA E DE TECNOLOGIA CURSO DE TECNOLOGIA EM PROCESSAMENTO DE DADOS Simulador do Processador Neander

Leia mais

BACKUP ONLINE PASSOS PARA CONFIGURAÇÃO INICIAL DO PRODUTO

BACKUP ONLINE PASSOS PARA CONFIGURAÇÃO INICIAL DO PRODUTO BACKUP ONLINE PASSOS PARA CONFIGURAÇÃO INICIAL DO PRODUTO Criação de Conta de Usuário...03 Edição da Conta de Usuário...10 Download do Backup Online Embratel...10 Descrição dos Conjuntos de Cópia de Segurança...19

Leia mais

1 Code::Blocks Criação de projetos

1 Code::Blocks Criação de projetos Programação MEEC Índice 1Code::Blocks Criação de projetos...1 2Code::Blocks Localização do projeto...5 3Code::Blocks Abertura de projetos já existentes...7 4Code::Blocks Funcionamento...8 5Code::Blocks

Leia mais

GUIA INTEGRA SERVICES E STATUS MONITOR

GUIA INTEGRA SERVICES E STATUS MONITOR GUIA INTEGRA SERVICES E STATUS MONITOR 1 - Integra Services Atenção: o Integra Services está disponível a partir da versão 2.0 do software Urano Integra. O Integra Services é um aplicativo que faz parte

Leia mais

Capítulo 7. Sequenciamento das Tarefas

Capítulo 7. Sequenciamento das Tarefas Capítulo 7 Sequenciamento das Tarefas 125 5.1 RELACIONAMENTO ENTRE TAREFAS Para que o cronograma do projeto seja executado corretamente e as mudanças inseridas seja calculadas de forma correta é importante

Leia mais

Planilha Eletrônica Excel

Planilha Eletrônica Excel COLÉGIO LA SALLE CANOAS Técnico em Informática Planilha Eletrônica Excel Excel Prof. Valter N. Silva Colégio La Salle Canoas Página 2 1. NOÇÕES BÁSICAS O Microsoft Excel 7.0 é um programa de planilha eletrônica,

Leia mais

Delphi 7 Aula 01 Área do Triângulo

Delphi 7 Aula 01 Área do Triângulo Delphi 7 Aula 01 Área do Triângulo Prof. Gilbert Azevedo da Silva I. Objetivos Conhecer o Ambiente Integrado de Desenvolvimento (IDE) do Delphi 7, Desenvolver a primeira aplicação no Delphi 7, Aprender

Leia mais

Curso técnico: Informática Disciplina: Aplicativos computacionais

Curso técnico: Informática Disciplina: Aplicativos computacionais 01. A tecla de atalho responsável por exibir os slides no modo de "apresentação" (tela inteira), é: a) F2 b) F3 c) F4 d) F5 e) F11 02. O recurso que permite definir efeitos de entrada para cada slide,

Leia mais

Importação de Dados no Sphinx

Importação de Dados no Sphinx Importação de Dados no Sphinx A importação de dados refere-se à transferência de dados coletados em outro ambiente para dentro do software Sphinx. No software, encontre a opção Importar dados no estágio

Leia mais

TUTORIAL COM OS PROCEDIMENTOS DE

TUTORIAL COM OS PROCEDIMENTOS DE TUTORIAL COM OS PROCEDIMENTOS DE GERAÇÃO DE BOLETOS CAIXA ECONÔMICA FEDERAL NO FINANCE Finance V10 New 2 Índice Como gerar Boleto Bancário pela Caixa Econômica... 3 Como efetuar a Conciliação por Extrato

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

MANUAL DE FTP. Instalando, Configurando e Utilizando FTP

MANUAL DE FTP. Instalando, Configurando e Utilizando FTP MANUAL DE FTP Instalando, Configurando e Utilizando FTP Este manual destina-se auxiliar os clientes e fornecedores da Log&Print na instalação, configuração e utilização de FTP O que é FTP? E o que é um

Leia mais

Caso já seja usuário do SCAW siga as instruções a partir da página X.

Caso já seja usuário do SCAW siga as instruções a partir da página X. Caso já seja usuário do SCAW siga as instruções a partir da página X. Para iniciar o sistema dê um duplo clique no ícone, que se encontra na área de trabalho. 1 Login do sistema. Esta é a tela de login

Leia mais

Token SafeNet Authentication Cliente 8.3 (x32 e x64)

Token SafeNet Authentication Cliente 8.3 (x32 e x64) Token SafeNet Authentication Cliente 8.3 (x32 e x64) Manual do Usuário Índice O que é Token?... 03 Instalação do Token... 04 Verificação do Certificado... 07 Troca de Senhas... 10 O que é Token? É um dispositivo

Leia mais

www.proteq.com.br/download/sac/receita/safenetauthenticationclientrfbx32-8.1-sp2.msi (token SafeNet e-gov - Plataforma Windows 32).

www.proteq.com.br/download/sac/receita/safenetauthenticationclientrfbx32-8.1-sp2.msi (token SafeNet e-gov - Plataforma Windows 32). Instalação e-token do Governo Federal para acesso ao SIAPE. Baixe os arquivos de instalação de leitura do e-token GD StarSign em: www.comprasnet.gov.br/ajuda/certificacaodigital.html A tela que abrira

Leia mais

Aula 03 PowerPoint 2007

Aula 03 PowerPoint 2007 Aula 03 PowerPoint 2007 Professor: Bruno Gomes Disciplina: Informática Básica Curso: Gestão de Turismo Sumário da aula: 1. Abrindo o PowerPoint; 2. Conhecendo a Tela do PowerPoint; 3. Criando uma Nova

Leia mais

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada.

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada. Editor de Ladder para VS7 Versão Teste O editor de ladder é um software de programação que permite que o VS7 e o µsmart sejam programados em linguagem de contatos. Esse editor está contido na pasta Público

Leia mais

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000 Laboratório de Sistemas Digitais /0 Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 000 OBJETIVO Aprender a utilizar o Editor de Esquemáticos e o Simulador Lógico Criar e simular os esquemáticos

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Fluxo de trabalho do Capture Pro Software: Indexação de código de barras e separação de documentos

Fluxo de trabalho do Capture Pro Software: Indexação de código de barras e separação de documentos Este procedimento corresponde ao fluxo de trabalho de Indexação de código de barras e de separação de documentos no programa de treinamento do Capture Pro Software. As etapas do procedimento encontram-se

Leia mais

SUMÁRIO TUTORIAL DO HQ. 2 DICAS PEDAGÓGICAS:. 2 DOWNLOAD DA INSTALAÇÃO. 2 PASSO 1 FORMULÁRIO PARA DOWNLOAD. 2 PASSO 2 ESCOLHENDO A VERSÃO.

SUMÁRIO TUTORIAL DO HQ. 2 DICAS PEDAGÓGICAS:. 2 DOWNLOAD DA INSTALAÇÃO. 2 PASSO 1 FORMULÁRIO PARA DOWNLOAD. 2 PASSO 2 ESCOLHENDO A VERSÃO. SUMÁRIO TUTORIAL DO HQ... 2 DICAS PEDAGÓGICAS:... 2 DOWNLOAD DA INSTALAÇÃO... 2 PASSO 1 FORMULÁRIO PARA DOWNLOAD... 2 PASSO 2 ESCOLHENDO A VERSÃO... 3 PASSO 3 INSTRUÇÕES DE INSTALAÇÃO... 4 CRIANDO NOVAS

Leia mais

GUIA BÁSICO DA SALA VIRTUAL

GUIA BÁSICO DA SALA VIRTUAL Ambiente Virtual de Aprendizagem - MOODLE GUIA BÁSICO DA SALA VIRTUAL http://salavirtual.faculdadesaoluiz.edu.br SUMÁRIO 1. Acessando Turmas 4 2. Inserindo Material 4 3. Enviando Mensagem aos Alunos 6

Leia mais

COMO GERAR UM ARQUIVO NÃO IDENTIFICADO NO TABWIN

COMO GERAR UM ARQUIVO NÃO IDENTIFICADO NO TABWIN O programa TabWin pode ser utilizado para calcular indicadores epidemiológicos e operacionais da tuberculose bem como para análise da qualidade da base de dados do Sinan. O usuário deve ter a versão atualizada

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

Disciplina: INF1005 - Programação I. 1 a aula prática Introdução ao ambiente do Microsoft Visual Studio 2010

Disciplina: INF1005 - Programação I. 1 a aula prática Introdução ao ambiente do Microsoft Visual Studio 2010 1 a aula prática Introdução ao ambiente do Microsoft Visual Studio 2010 1. Execute o MS-Visual Studio 2010. Experimente o caminho: Start All Programs Microsoft Visual Studio 2010 Microsoft Visual Studio

Leia mais

Para inserir custo fixo às tarefas, siga os passos abaixo :

Para inserir custo fixo às tarefas, siga os passos abaixo : Capítulo 9 Custos 166 CUSTOS INSERINDO CUSTO FIXO AO PROJETO O custo total do projeto é o custo total de recurso somado ao custo total de custos fixos. O custo com recurso já é computado quando alocamos

Leia mais

GUIA RÁPIDO DE UTILIZAÇÃO DO PORTAL DO AFRAFEP SAÚDE

GUIA RÁPIDO DE UTILIZAÇÃO DO PORTAL DO AFRAFEP SAÚDE GUIA RÁPIDO DE UTILIZAÇÃO DO PORTAL DO AFRAFEP SAÚDE INTRODUÇÃO O portal do Afrafep Saúde é um sistema WEB integrado ao sistema HEALTH*Tools. O site consiste em uma área onde os Usuários e a Rede Credenciada,

Leia mais

MANUAL DO CatBib* * Este manual deve ser usado junto com o Sistema Bibliodata Online. Elaborado por: Maria do Socorro Gomes de Almeida e Edwin Hübner

MANUAL DO CatBib* * Este manual deve ser usado junto com o Sistema Bibliodata Online. Elaborado por: Maria do Socorro Gomes de Almeida e Edwin Hübner MANUAL DO CatBib* Elaborado por: Maria do Socorro Gomes de Almeida e Edwin Hübner RIO DE JANEIRO 2002 * Este manual deve ser usado junto com o Sistema Bibliodata Online SUMÁRIO 1. INTRODUÇÃO 3 2. ENTRADA

Leia mais

Organização de programas em Python. Vanessa Braganholo vanessa@ic.uff.br

Organização de programas em Python. Vanessa Braganholo vanessa@ic.uff.br Organização de programas em Python Vanessa Braganholo vanessa@ic.uff.br Vamos programar em Python! Mas... } Como um programa é organizado? } Quais são os tipos de dados disponíveis? } Como variáveis podem

Leia mais

Como gerar arquivos para Sphinx Operador

Como gerar arquivos para Sphinx Operador Como gerar arquivos para Sphinx Operador Pré-requisitos: Lista das questões Formulário multimídia Visões O Sphinx Operador é um sistema específico para digitação de respostas e visualização de resultados

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Sistema de Recursos Humanos

Sistema de Recursos Humanos Sistema de Recursos Humanos Projeto 1 O objetivo desse sistema é gerenciar a admissão e a demissão de funcionários. Esse funcionário pode ou não ter dependentes. Esse funcionário está alocado em um departamento

Leia mais

Manual Signext Card Explorer

Manual Signext Card Explorer Índice 1. Gerenciador... 1 2. Editar... 4 3. Token... 7 4. Key Pair... 8 5. Certificado... 9 6. Sobre... 10 O Card Explorer é um software desenvolvido para que o usuário possa: gerar par de chaves, inserir/excluir

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

ALBUM DE FOTOGRAFIAS NO POWER POINT

ALBUM DE FOTOGRAFIAS NO POWER POINT ALBUM DE FOTOGRAFIAS NO POWER POINT O PowerPoint é uma poderosa ferramenta que faz parte do pacote Office da Microsoft. O principal uso desse programa é a criação de apresentação de slides, para mostrar

Leia mais

NetBeans. Conhecendo um pouco da IDE

NetBeans. Conhecendo um pouco da IDE NetBeans Conhecendo um pouco da IDE Professor: Edwar Saliba Júnior Sumário Apresentação:...1 Criando Um Novo Projeto de Software:...1 Depurando Um Código-fonte:...4 Entendendo o Código-fonte:...7 Dica

Leia mais

RESUMO DE CATALOGAÇÃO

RESUMO DE CATALOGAÇÃO RESUMO DE CATALOGAÇÃO CLASSIFICAÇÃO DISTINTA Contatos: Cirineo Zenere (c.zenere@pucpr.br) Eulália M. Soares (eulalia.soares@pucpr.br) Josilaine O. Cezar (josilaine.cezar@pucpr.br) SUMÁRIO INICIANDO A CATALOGAÇÃO...2

Leia mais

Microsoft Office PowerPoint 2007

Microsoft Office PowerPoint 2007 INTRODUÇÃO AO MICROSOFT POWERPOINT 2007 O Microsoft Office PowerPoint 2007 é um programa destinado à criação de apresentação através de Slides. A apresentação é um conjunto de Sides que são exibidos em

Leia mais

AULA 06 CRIAÇÃO DE USUÁRIOS

AULA 06 CRIAÇÃO DE USUÁRIOS AULA 06 CRIAÇÃO DE USUÁRIOS O Windows XP fornece contas de usuários de grupos (das quais os usuários podem ser membros). As contas de usuários são projetadas para indivíduos. As contas de grupos são projetadas

Leia mais

USANDO O ROUNDCUBE WEBMAIL

USANDO O ROUNDCUBE WEBMAIL USANDO O ROUNDCUBE WEBMAIL ATENÇÃO! Para utilizar este tutorial não se esqueça: Onde estiver escrito seusite.com.br substitua pelo ENDEREÇO do seu site (domínio). Ex.: Se o endereço do seu site é casadecarnessilva.net

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Barra de ferramentas padrão. Barra de formatação. Barra de desenho Painel de Tarefas

Barra de ferramentas padrão. Barra de formatação. Barra de desenho Painel de Tarefas Microsoft Power Point 2003 No Microsoft PowerPoint 2003, você cria sua apresentação usando apenas um arquivo, ele contém tudo o que você precisa uma estrutura para sua apresentação, os slides, o material

Leia mais

WORD. Professor: Leandro Crescencio E-mail: leandromc@inf.ufsm.br. http://www.inf.ufsm.br/~leandromc. Colégio Politécnico 1

WORD. Professor: Leandro Crescencio E-mail: leandromc@inf.ufsm.br. http://www.inf.ufsm.br/~leandromc. Colégio Politécnico 1 WORD Professor: Leandro Crescencio E-mail: leandromc@inf.ufsm.br http://www.inf.ufsm.br/~leandromc Colégio Politécnico 1 WORD Mala direta Cartas Modelo Mesclar Etiquetas de endereçamento Formulários Barra

Leia mais

SISTEMA DE PRODUTOS E SERVIÇOS CERTIFICADOS. MÓDULO DO CERTIFICADOR MANUAL DE OPERAÇÃO Versão 2.4.6

SISTEMA DE PRODUTOS E SERVIÇOS CERTIFICADOS. MÓDULO DO CERTIFICADOR MANUAL DE OPERAÇÃO Versão 2.4.6 SISTEMA DE PRODUTOS E SERVIÇOS CERTIFICADOS Versão 2.4.6 Sumário Fluxograma básico do processo de envio de remessa... 5 Criar novo certificado... 6 Aprovar certificado... 16 Preparar e enviar remessa...

Leia mais

Conhecendo o Proteus

Conhecendo o Proteus Conhecendo o Proteus Vitor Amadeu Souza vitor@cerne-tec.com.br Em uma série de 3 artigos, veremos como usar o Proteus. Introdução Iremos neste momento iniciar nosso estudo no Proteus usando para isso as

Leia mais

b 1 Copyright 2008-2012 In9 Mídia Soluções Digitais Inc. All rights reserved.

b 1 Copyright 2008-2012 In9 Mídia Soluções Digitais Inc. All rights reserved. b 1 Conteúdo Capítulo 1... 3 Instalando o Neonews... 3 Neonews no Linux... 6 Capítulo 2... 7 Configurando o NeoNews... 7 Capítulo 3... 13 Teclas de Atalho do NeoNews Player... 13 2 Capítulo 1 Instalando

Leia mais

Roteiro 2: (Planilhas Eletrônicas) - Função procv / manipulação de formulários

Roteiro 2: (Planilhas Eletrônicas) - Função procv / manipulação de formulários Roteiro 2: (Planilhas Eletrônicas) - Função procv / manipulação de formulários Objetivos Explorar funções de procura e referência; Explorar ferramentas para controle de formulários em planilhas; Importar

Leia mais

1- Requisitos mínimos. 2- Instalando o Acesso Full. 3- Iniciando o Acesso Full pela primeira vez

1- Requisitos mínimos. 2- Instalando o Acesso Full. 3- Iniciando o Acesso Full pela primeira vez Manual Conteúdo 1- Requisitos mínimos... 2 2- Instalando o Acesso Full... 2 3- Iniciando o Acesso Full pela primeira vez... 2 4- Conhecendo a barra de navegação padrão do Acesso Full... 3 5- Cadastrando

Leia mais

Guia do Wattbike Expert Software para Iniciantes

Guia do Wattbike Expert Software para Iniciantes Guia do Wattbike Expert Software para Iniciantes 1 Índice Introdução............................................... 3 Conexão do software ao Computador de Desempenho Wattbike tela padrão Polar e edição

Leia mais

Sumário. 1. Instalando a Chave de Proteção 3. 2. Novas características da versão 1.3.8 3. 3. Instalando o PhotoFacil Álbum 4

Sumário. 1. Instalando a Chave de Proteção 3. 2. Novas características da versão 1.3.8 3. 3. Instalando o PhotoFacil Álbum 4 1 Sumário 1. Instalando a Chave de Proteção 3 2. Novas características da versão 1.3.8 3 3. Instalando o PhotoFacil Álbum 4 4. Executando o PhotoFacil Álbum 9 3.1. Verificação da Chave 9 3.1.1. Erro 0001-7

Leia mais

Aula 09 - Atualização de uma tabela dinâmica. Aula 09 - Atualização de uma tabela dinâmica. Sumário. Atualizando a tabela dinâmica

Aula 09 - Atualização de uma tabela dinâmica. Aula 09 - Atualização de uma tabela dinâmica. Sumário. Atualizando a tabela dinâmica Sumário Atualizando a tabela dinâmica Exibição de páginas em planilhas separadas Detalhando / ocultando os dados da planilha Gráfico dinâmico Gráfico dinâmico (cont.) Alterando a formatação do gráfico

Leia mais

Manual Simulador de Loja

Manual Simulador de Loja Manual Simulador de Loja Índice Início Menu Loja Modelo Loja Modelo Menu Criar Minha Loja Criar Minha Loja Abrir Projeto Salvo Teste Seu Conhecimento 0 04 05 08 09 8 9 0 Início 5 4 Figura 00. Tela inicial.

Leia mais