Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Tamanho: px
Começar a partir da página:

Download "Programação de CPLDs no ambiente ISE 4.2i da Xilinx"

Transcrição

1 Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a linguagem Verilog, procedendo à respectiva simulação antes da fase final de programação. 1-Lançar o programa Project Navigator. A janela que é lançada está dividida em várias partes cuja utilização será descrita à medida do necessário. A parte inferior é uma janela de comandos onde aparece informação referente à execução das diversas aplicações que compõem o software. 2-File -> New Project. 3-Surge uma nova janela onde deverá ser colocado o nome do projecto e a respectiva localização e seleccionar as seguintes opções: Device Family: XC9500 CPLDs Device: XC9536 PC44 Design Flow: XST Verilog Esta última opção determina a linguagem de descrição de hardware (LDH) que será utilizada numa das fases intermédias de descrição do circuito (transparente para o utilizador) e indica também a LDH que poderá ser usada na descrição do circuito. A opção por uma das linguagens é necessária mesmo quando se pretenda usar apenas o editor de esquema eléctrico. 4-Para criar cada novo módulo é necessário fazer: Project -> New Source e escolher: Schematic: abre a aplicação ECS para edição do circuito eléctrico. Verilog Module: abre uma janela onde se definem as entradas e saídas do módulo, gerando de seguida a cabeçalho do mesmo num ficheiro de texto. Test Bench Waveform: abre uma janela que permite escolher o módulo que vai ser testado e após a selecção lança a aplicação HDL Bencher. 5-Dentro da aplicação ECS para desenhar o circuito pretendido são úteis os seguintes passos: Colocar um componente: Add -> Symbol (pode ser feito a partir do botão correspondente), escolher Categories e Symbols. Colocar uma ligação: Add -> Wire (pode ser feito a partir do botão correspondente). Colocar um nome em cada sinal: Add -> Net Name (pode ser feito a partir do botão correspondente), preencher o campo do nome e clicar sobre o fio correspondente. Identificar entradas e saídas: Add -> I/O Marker (pode ser feito a partir do botão correspondente), escolher o tipo sinal e clicar sobre o extremo do fio correspondente. Verificar o circuito: Tools -> Check Schematic. Programação de CPLDs Xilinx FMD 1

2 6-Após a criação de um ficheiro de Verilog é necessário: Completar a descrição que é criada com a definição das entradas e saídas. Seleccionar o ficheiro Verilog na janela Sources in Project e na janela Processes for Current Source expandir a opção Synthesize e clicar em Check Syntax. 7-Para criar um símbolo para um bloco (independentemente do tipo de descrição do mesmo) é necessário fazer: Seleccionar o bloco a partir do qual se pretende criar o símbolo em Sources in Project e na janela Processes for Current Source expandir a opção Design Entry Utilities e clicar em Create Schematic Symbol. O símbolo passa a estar disponível no editor ECS na categoria referente à directoria onde se encontra o projecto. 8-Para testar um módulo é necessário criar um ficheiro do tipo Test Bench Waveform como referido em 4. Uma vez lançada a aplicação HDL Bencher é necessário efectuar os seguintes passos: Escolher os parâmetros de simulação que aparecem na janela inicial. A janela do HDL Bencher contem na parte superior as formas de onda dos sinais de entrada que podem ser editadas usando o rato e na parte inferior existe uma descrição na LDH escolhida em 3 do bloco a ser testado. Alterar os sinais de entrada e gravar as formas de onda. Fechar o HDL Bencher, escolhendo o número de ciclos de relógio que a simulação deverá usar após a última alteração das entradas. No Project Navigator escolher o ficheiro de simulação em Sources in Project e na janela Processes for Current Source expandir a opção ModelSim Simulator e clicar em Generate Expected Simulation Results. O HDL Bencher é novamente lançado e mostra os resultados da simulação. 9-Para programar o CPLD é necessário efectuar os seguintes passos: Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source clicar na opção Generate Programming File. O projecto é compilado. Em resultado da compilação é possível ver a atribuição dos pinos feita pelo software. Para ver esta informação seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Implement Design, expandir a opção Fit e abrir o ficheiro Fitter Report. Neste ficheiro existe informação da atribuição dos recursos do CPLD e indicação da atribuição dos pinos. Caso seja pretendido também é possível fixar os pinos de cada entrada durante a fase de projecto. Programação de CPLDs Xilinx FMD 2

3 Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Generate Programming File e clicar em Configure Device (impact). A aplicação impact é lançada e procura de forma automática a ligação física que permite fazer a programação. No centro da aplicação impact surge o símbolo do CPLD que após seleccionado permite, usando o botão do lado direito, aceder a várias opções. Seleccionar Program -> OK para efectuar a programação. Após a programação pode efectuar-se a verificação da mesma fazendo Verify. Para fazer uma nova programação estão também disponíveis as opções de Erase e Blank Check. Programação de CPLDs Xilinx FMD 3

4 Informação sobre o encapsulamento Os CPLDs XC9536 estão montados em adaptadores de 40 pinos que permitem a sua montagem em bread boards e a ligação aos programadores usando ZIFs. Como os CPLDs têm 44 pinos e o adaptador 40, existem 4 pinos que não têm ligação. A informação seguinte é fornecida pelo software da Xilinx e indica quais são os pinos cuja função esta previamente definida e a sua numeração. Device : XC PC44 T T T T T T T T T V T I I I I I I I I I C I E E E E E E E E E C E / \ TIE 7 39 TIE TIE 8 38 TIE TIE 9 37 TIE GND TIE TIE 11 XC PC44 35 TIE TIE TIE TIE TIE TIE VCC TDI GND TMS TDO TCK TIE \ / T T T V T G T T T T T I I I C I N I I I I I E E E C E D E E E E E Legend: NC = Not Connected, unbonded pin TIE = Tie pin to GND or board trace driven to valid logic level VCC = Dedicated Power Pin GND = Dedicated Ground Pin TDI = Test Data In, JTAG pin TDO = Test Data Out, JTAG pin TCK = Test Clock, JTAG pin TMS = Test Mode Select, JTAG pin PE = Port Enable pin PROHIBITED = User reserved pin Os pinos assinalados a bold são pinos que não estão disponíveis devido ao adaptador para Dual In-line Package (DIP). Programação de CPLDs Xilinx FMD 4

5 A correspondência entre os pinos originais do CPLD e os pinos do adaptador está representada na figura seguinte. Pinos do CPLD XC Socket DIP Pinos do CPLD XC Para permitir um controlo sobre os pinos que são utilizados e não utilizados é possível incluir algumas algumas instruções adicionais. Para tal é necessário em Processes for Current Source expandir a opção Design Entry Utilities, expandir a opção User Constraints e clicar em Edit Implementation Constraints File. Abre um ficheiro de texto com extensão.ucf. Neste ficheiro são colocadas restrições impostas pelo utilizador. Entre outras coisas é possível fazer: Definição do pino de cada saída: INST nome_do_sinal LOC=PXXX; NET nome_do_sinal LOC=PXXX; Proibir a utilização de um pino: CONFIG PROHIBIT=PXXX; A primeira palavra é o comando respectivo, nome_do_sinal deve ser substituído pelo nome correcto e XXX é o número do pino pretendido. Programação de CPLDs Xilinx FMD 5

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000 Laboratório de Sistemas Digitais /0 Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 000 OBJETIVO Aprender a utilizar o Editor de Esquemáticos e o Simulador Lógico Criar e simular os esquemáticos

Leia mais

INTRODUÇÃO AO PSPICE. Usaremos o PSPICE para simular o circuito mostrado na Figura 1. Figura 1. Exemplo No. 1

INTRODUÇÃO AO PSPICE. Usaremos o PSPICE para simular o circuito mostrado na Figura 1. Figura 1. Exemplo No. 1 INTRODUÇÃO AO PSPICE 1. O QUE É O PSPICE? O programa PSPICE (ORCAD) é um simulador digital de circuitos eletrônicos, que emula os comportamentos de um circuito real. Deste modo ele permite fazer todos

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

1 - Configurar a ligação

1 - Configurar a ligação 1 - Configurar a ligação Abrir o Cx-Programmer e criar um novo ficheiro. Seleccionar o tipo de processador em Device Type CJ1M. Em Device Type - Settings escolher em CPU Type - CPU 12. Em seguida é necessário

Leia mais

Ficha prática nº 7. SGBD Microsoft Access e SQL Server

Ficha prática nº 7. SGBD Microsoft Access e SQL Server Instituto Superior Politécnico de Viseu Escola Superior de Tecnologia Departamento de Informática Ficha prática nº 7 SGBD Microsoft Access e SQL Server Objectivo: Criação de uma aplicação em arquitectura

Leia mais

Conceitos importantes

Conceitos importantes Conceitos importantes Informática Informação + Automática Tratamento ou processamento da informação, utilizando meios automáticos. Computador (Provem da palavra latina: computare, que significa contar)

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Portas Lógicas Básicas: Parte 2 Simulação Lógica Laboratório 1 - continuação Portas Lógicas Básicas: Parte 2 Simulação Lógica 5. Introdução à Simulação Lógica Neste laboratório, você tomará contato com a ferramenta de projeto digital Max+Plus II, da

Leia mais

Construção de um circuito sintético para a produção de hidrogénio

Construção de um circuito sintético para a produção de hidrogénio Mestrado em Biologia Molecular e Celular 2014/2015 Manipulação de DNA e Biologia Sintética Construção de um circuito sintético para a produção de hidrogénio Ficha Teórico-Prática O presente plano de trabalhos

Leia mais

Usando o simulador MIPS

Usando o simulador MIPS Usando o simulador MIPS O objetivo desta aula prática será a utilização do simulador MipsIt para executar programas escritos em linguagem de máquina do MIPS. 1 Criando um projeto Cada programa a ser executado

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Prof. Edson Lemos Horta Fevereiro 2008 1 Introdução aos Circuitos Integrados para Aplicações Específicas (Application

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011 Manual Profissional BackOffice Mapa de Mesas v2011 1 1. Índice 2. Introdução... 2 3. Iniciar ZSRest Backoffice... 3 4. Confirmar desenho de mesas... 4 b) Activar mapa de mesas... 4 c) Zonas... 4 5. Desenhar

Leia mais

Transição de POC para SNC

Transição de POC para SNC Transição de POC para SNC A Grelha de Transição surge no âmbito da entrada em vigor, no ano de 2010, do Sistema de Normalização Contabilística (SNC). O SNC vem promover a melhoria na contabilidade nacional,

Leia mais

Guião de Introdução ao Eclipse IDE Índice

Guião de Introdução ao Eclipse IDE Índice Índice 1. Introdução... 2 1.1. O que é um ambiente de desenvolvimento (IDE)?... 2 1.2. Visão geral sobre o Eclipse IDE... 2 2. Iniciar o Eclipse... 3 2.1. Instalação... 3 2.2. Utilizar o Eclipse... 3 3.

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7. UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.21 - ESTUDANTE Prof. Dr. José Renes Pinheiro Prof. Dr. Hélio Leães

Leia mais

Paradigmas da Programação Netbeans 6.7.1 UML

Paradigmas da Programação Netbeans 6.7.1 UML Paradigmas da Programação Netbeans 6.7.1 UML Nelson Freire (ISEP DEI-PPROG 2010/11) 1/31 UML Tópicos Referências Instalação Netbeans 6.7.1 Instalação Plugin UML Criação Diagrama de Classes: Novo Projecto

Leia mais

O Primeiro Programa em Visual Studio.net

O Primeiro Programa em Visual Studio.net O Primeiro Programa em Visual Studio.net Já examinamos o primeiro programa escrito em C que servirá de ponto de partida para todos os demais exemplos e exercícios do curso. Agora, aprenderemos como utilizar

Leia mais

Internet Update de PaintManager TM. Manual de instalação e utilização do programa de actualização

Internet Update de PaintManager TM. Manual de instalação e utilização do programa de actualização Internet Update de PaintManager TM Manual de instalação e utilização do programa de actualização ÍNDICE O que é o programa Internet Update? 3 Como se instala e executa o programa? 3 Aceder ao programa

Leia mais

Iniciar o Data Adapter Configuration Wizard. Toolbox Data Duplo clique em OleDbDataAdapter. Botão next na caixa de diálogo

Iniciar o Data Adapter Configuration Wizard. Toolbox Data Duplo clique em OleDbDataAdapter. Botão next na caixa de diálogo Iniciar o Data Adapter Configuration Wizard Toolbox Data Duplo clique em OleDbDataAdapter Botão next na caixa de diálogo Se carregar em Cancel, o wizard é cancelado e podemos depois definir as propriedades

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista EXPERIMENTO 1 INTRODUÇÃO AO LABORATÓRIO A. Introdução O Quartus II é um software utilizado para

Leia mais

ALPHA SELECT Software de Configuração de Quadros Eléctricos

ALPHA SELECT Software de Configuração de Quadros Eléctricos Siemens SA 2009 ALPHA SELECT Software de Configuração de Quadros Eléctricos Como Configurar o seu Quadro Eléctrico em 6 Passos Fácil e Simples Answers for infrastructure. Conteúdo Fluxograma do Software

Leia mais

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG.

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG. Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS Módulo x (Aula Prática) Reliance - Ashtech e Suas Aplicações Em SIG (Carlos Antunes) INTODUÇÃO O Sistema Reliance baseia-se na utilização do

Leia mais

Veja abaixo um exemplo de como os dados são mostrados quando usamos o

Veja abaixo um exemplo de como os dados são mostrados quando usamos o Objeto DataGridView O controle DataGridView é um dos objetos utilizados para exibir dados de tabelas de um banco de dados. Ele está disponível na guia de objetos Data na janela de objetos do vb.net. Será

Leia mais

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1 UTL/IST FAQ v0.4.3 Perguntas frequentes relacionadas com ISE da Xilinx e ferramentas associadas Versão da ferramenta: Xilinx ISE 10.1 Departamento de Engenharia Electrotécnica e de Computadores Instituto

Leia mais

Ambiente de trabalho. Configurações. Acessórios

Ambiente de trabalho. Configurações. Acessórios Ambiente de trabalho Configurações Acessórios O Sistema Operativo (SO) é o conjunto de programas fundamentais que permitem que o computador funcione e comunique com o exterior. Actualmente, o Windows é

Leia mais

GUIA PARA O PREENCHIMENTO DOS FORMULÁRIOS ENTIDADE GESTORA ERP PORTUGAL

GUIA PARA O PREENCHIMENTO DOS FORMULÁRIOS ENTIDADE GESTORA ERP PORTUGAL GUIA PARA O PREENCHIMENTO DOS FORMULÁRIOS ENTIDADE GESTORA ERP PORTUGAL Versão: 1.0 Data: 05-06-2009 Índice Acesso e estados dos Formulários... 3 Escolha do Formulário e submissão... 4 Bases para a navegação

Leia mais

Microsoft PowerPoint 2003

Microsoft PowerPoint 2003 Página 1 de 36 Índice Conteúdo Nº de página Introdução 3 Área de Trabalho 5 Criando uma nova apresentação 7 Guardar Apresentação 8 Inserir Diapositivos 10 Fechar Apresentação 12 Abrindo Documentos 13 Configurar

Leia mais

Manual do Usuário. Tag List. Tag List Generator. smar FIRST IN FIELDBUS JUL / 02. Tag-List VERSÃO 1.0 TAGLSTC3MP

Manual do Usuário. Tag List. Tag List Generator. smar FIRST IN FIELDBUS JUL / 02. Tag-List VERSÃO 1.0 TAGLSTC3MP Tag List Tag List Generator Manual do Usuário smar FIRST IN FIELDBUS JUL / 02 Tag-List VERSÃO 1.0 TAGLSTC3MP www.smar.com.br Especificações e informações estão sujeitas a modificações sem prévia consulta.

Leia mais

Aleph 18.01 Manual de utilização do Módulo de Catalogação

Aleph 18.01 Manual de utilização do Módulo de Catalogação Aleph 18.01 Manual de utilização do Módulo de Catalogação Elaborado por: Amélia Janeiro e Ana Cosmelli SDUL 2008 Índice Apresentação... 3 1. Criar novos registos... 4 1.1 Abrir Template... 4 1.2 Usar Registo

Leia mais

Tarefa 18: Criar Tabelas Dinâmicas a partir de Listas de Excel

Tarefa 18: Criar Tabelas Dinâmicas a partir de Listas de Excel Tarefa 18: Criar Tabelas Dinâmicas a partir de 1. Alguns conceitos sobre Tabelas Dinâmicas Com tabelas dinâmicas podemos criar dinâmica e imediatamente resumos de uma lista Excel ou de uma base de dados

Leia mais

Referências de tarefas de comunicação do Sametime

Referências de tarefas de comunicação do Sametime Referências de tarefas de comunicação do Sametime ii Referências de tarefas de comunicação do Sametime Índice Referências de tarefas de comunicação do Sametime............ 1 iii iv Referências de tarefas

Leia mais

Conselho Geral da Ordem Dos Advogados Departamento Informático. Índice:

Conselho Geral da Ordem Dos Advogados Departamento Informático. Índice: Nota Introdutória: Este documento destina-se a guiá-lo(a) através dos vários procedimentos necessários para efectuar uma Cópia de Segurança do seu Certificado Digital. A exportação do seu certificado digital

Leia mais

Software Manual SOFTWARE

Software Manual SOFTWARE Software Manual 1 Conteúdo 1. Transferir e instalar o software de controladores & ferramentas 2. O menu de contexto 3. O menu de configuração (XP) 3.1 Separador Main setting (Configurações Principais)

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

UNIDADE 2: Sistema Operativo em Ambiente Gráfico

UNIDADE 2: Sistema Operativo em Ambiente Gráfico Ambiente Gráfico Configurações Acessórios O Sistema Operativo (SO) é o conjunto de programas fundamentais que permitem que o computador funcione e que comunique com o exterior. Actualmente o Windows é

Leia mais

Editor Eclipse para Programas F

Editor Eclipse para Programas F Editor Eclipse para Programas F Guia de instalação e utilização Instalação no Windows (XP/Vista) Comece por descarregar a aplicação de instalação setupcp2008.exe do endereço http://www.math.ist.utl.pt/~jabr/cp/

Leia mais

ZS Rest. Manual Avançado. Instalação em Rede. v2011

ZS Rest. Manual Avançado. Instalação em Rede. v2011 Manual Avançado Instalação em Rede v2011 1 1. Índice 2. Introdução... 2 3. Hardware... 3 b) Servidor:... 3 c) Rede:... 3 d) Pontos de Venda... 4 4. SQL Server... 5 e) Configurar porta estática:... 5 5.

Leia mais

UNIDADE 2: Sistema Operativo em Ambiente Gráfico

UNIDADE 2: Sistema Operativo em Ambiente Gráfico UNIDADE 2: Sistema Operativo em Ambiente Gráfico Ambiente de trabalho Configurações Acessórios O Sistema Operativo (SO) é o conjunto de programas fundamentais que permitem que o computador funcione e comunique

Leia mais

Referencial do Módulo B

Referencial do Módulo B 1 Referencial do Módulo B Liga, desliga e reinicia correctamente o computador e periféricos, designadamente um scanner; Usa o rato: aponta, clica, duplo-clique, selecciona e arrasta; Reconhece os ícones

Leia mais

Bases de Dados. Lab 1: Introdução ao ambiente

Bases de Dados. Lab 1: Introdução ao ambiente Departamento de Engenharia Informática 2010/2011 Bases de Dados Lab 1: Introdução ao ambiente 1º semestre O ficheiro bank.sql contém um conjunto de instruções SQL para criar a base de dados de exemplo

Leia mais

Sistema Operativo em Ambiente Gráfico

Sistema Operativo em Ambiente Gráfico Sistema Operativo em Ambiente Gráfico Sistema Operativo Conjunto de programas fundamentais que permitem que o computador funcione e comunique com o exterior; Windows: sistema operativo mais utilizado nos

Leia mais

MicroMIX Comércio e Serviços de Informática, Lda.

MicroMIX Comércio e Serviços de Informática, Lda. 1 P á g i n a Procedimentos Inventário AT 2014 Software Olisoft-SIG.2014 Verificar Versão dos Módulos Instalados Em primeiro lugar deveremos verificar se o programa SIG está actualizado. Esta nova rotina

Leia mais

5 - Se o documento estiver completo, com os campos totalmente inseridos e com o aspecto que pretende, poderá guardá-lo.

5 - Se o documento estiver completo, com os campos totalmente inseridos e com o aspecto que pretende, poderá guardá-lo. Impressão em série de cartas de formulário e mailings em grande número Intercalação de correio Base de Dados em Excel Comece por planear o aspecto da sua página final - é uma carta, uma página de etiquetas

Leia mais

Manual Gespos Passagem de Dados Fecho de Ano

Manual Gespos Passagem de Dados Fecho de Ano Manual Gespos Passagem de Dados Fecho de Ano ÍNDICE PASSAGEM DE DADOS / FECHO DE ANO... 1 Objectivo da função... 1 Antes de efectuar a Passagem de dados / Fecho de Ano... 1 Cópia de segurança da base de

Leia mais

I. COMO FAZER O REGISTO NA PLATAFORMA MOODLE 3 II. COMO ACEDER (ENTRAR) NO MOODLE DA ESCOLA 1

I. COMO FAZER O REGISTO NA PLATAFORMA MOODLE 3 II. COMO ACEDER (ENTRAR) NO MOODLE DA ESCOLA 1 Novembro.2010 Índice I. COMO FAZER O REGISTO NA PLATAFORMA MOODLE 3 II. COMO ACEDER (ENTRAR) NO MOODLE DA ESCOLA 1 III. COMO INSERIR MATERIAL PEDAGÓGICO (ANEXAR FICHEIROS) 1 IV. CRIAR UM GLOSSÁRIO 5 V.

Leia mais

1 Code::Blocks Criação de projetos

1 Code::Blocks Criação de projetos Programação MEEC Índice 1Code::Blocks Criação de projetos...1 2Code::Blocks Localização do projeto...5 3Code::Blocks Abertura de projetos já existentes...7 4Code::Blocks Funcionamento...8 5Code::Blocks

Leia mais

Manual de utilizador CRM

Manual de utilizador CRM Manual de utilizador CRM Formulário de Login Personalizado de acordo com a sua empresa mantendo a sua imagem corporativa. Utilizador: Admin Password: 123 1 Formulário Principal Este formulário está dividido

Leia mais

Exercício prático GPS Thales MobileMapper

Exercício prático GPS Thales MobileMapper Exercício prático GPS Thales MobileMapper Criar biblioteca: - Abrir o software Mobile Mapper Office e, no menu Tools, seleccionar a opção Feature Library Editor. - Para criar os ficheiros de pontos, linhas

Leia mais

A interface do Microsoft Visual Studio 2005

A interface do Microsoft Visual Studio 2005 Tarefa Orientada 1 A interface do Microsoft Visual Studio 2005 Objectivos: Introdução à framework.net Iniciar o Visual Studio 2005. Criar um novo projecto de Windows Forms em Visual Basic Introdução à

Leia mais

Complemento ao Manual de Utilizador Plataforma de Estágios TIC

Complemento ao Manual de Utilizador Plataforma de Estágios TIC Complemento ao Manual de Utilizador Plataforma de Estágios TIC Direccionado para as escolas www.estagiostic.gov.pt Índice 1. Objectivo da Plataforma... 2 2. Acesso restrito... 2 3. Perfis... 2 3.1. O administrador...

Leia mais

Backup Premium Guia Rápido de Introdução do Utilizador

Backup Premium Guia Rápido de Introdução do Utilizador Acerca O Memeo Backup Premium é uma solução de cópias de segurança simples para um mundo digital complexo. Ao efectuar cópias de segurança automáticas dos seus documentos importantes e preferidos, o Memeo

Leia mais

Licenciatura em Eng.ª Informática Complementos de Redes - 3º Ano - 2º Semestre. Trabalho Nº 4 - VoIP

Licenciatura em Eng.ª Informática Complementos de Redes - 3º Ano - 2º Semestre. Trabalho Nº 4 - VoIP Trabalho Nº 4 - VoIP 1. Introdução A utilização de tecnologia VoIP como alternativa às redes telefónicas tradicionais está a ganhar cada vez mais a aceitação junto dos utilizadores, e está sobretudo em

Leia mais

Amplificadores Operacionais

Amplificadores Operacionais Análise de Circuitos LEE 2006/07 Guia de Laboratório Trabalho 2 Amplificadores Operacionais INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores Paulo Flores 1 Objectivos

Leia mais

REGRAS A CONSIDERAR SEGUIDAMENTE APRESENTAMOS OS VÁRIOS MÓDULOS (CAIXAS) DISPONÍVEIS NO SOFTWARE. Pág. 5/18

REGRAS A CONSIDERAR SEGUIDAMENTE APRESENTAMOS OS VÁRIOS MÓDULOS (CAIXAS) DISPONÍVEIS NO SOFTWARE. Pág. 5/18 Pág. 1/18 ÍNDICE Índice... 2 Apresentação do software.. 3 Primeira etapa (menus iniciais)..... 3 Regras a considerar..... 5 Construção típica..... 5 Construção rectangular 5 Módulos disponíveis no software.

Leia mais

Manual de Administração Intranet BNI

Manual de Administração Intranet BNI Manual de Administração Intranet BNI Fevereiro - 2010 Índice 1. Apresentação... 3 2. Conceitos... 5 3. Funcionamento base da intranet... 7 3.1. Autenticação...8 3.2. Entrada na intranet...8 3.3. O ecrã

Leia mais

Gerenciador de Conteúdo Magellan 2.0

Gerenciador de Conteúdo Magellan 2.0 Gerenciador de Conteúdo Magellan 2.0 Instalando o Gerenciador de Conteúdo Magellan. 1. Baixe o Gerenciador de Conteúdo Magellan de www.magellangps.com. 2. Dê um duplo clique no arquivo CM_Setup que foi

Leia mais

- O MySQL para além da sua utilização em modo linha de comandos, também pode ser utilizado através de ferramentas gráficas.

- O MySQL para além da sua utilização em modo linha de comandos, também pode ser utilizado através de ferramentas gráficas. Objetivo: Utilização das ferramentas gráficas do MySQL Instalação das ferramentas gráficas do MySQL: - O MySQL para além da sua utilização em modo linha de comandos, também pode ser utilizado através de

Leia mais

Microsoft Office FrontPage 2003

Microsoft Office FrontPage 2003 Instituto Politécnico de Tomar Escola Superior de Gestão Área Interdepartamental de Tecnologias de Informação e Comunicação Microsoft Office FrontPage 2003 1 Microsoft Office FrontPage 2003 O Microsoft

Leia mais

MoreDoc. Guia de Instalação. Edição Community Versão 3.0

MoreDoc. Guia de Instalação. Edição Community Versão 3.0 MoreDoc Guia de Instalação Edição Community Versão 3.0 Índice 1 Histórico de Alterações...3 2 Sobre o Guia...4 3 Como começar...5 Software Necessário...5 Descompactação de arquivos...5 Carregamento da

Leia mais

Perguntas Frequentes Assinatura Digital

Perguntas Frequentes Assinatura Digital Perguntas Frequentes Assinatura Digital Conteúdo Onde posso obter a minha assinatura digital qualificada... 1 O que preciso para assinar um documento com o cartão de cidadão... 1 Como assinar um documento

Leia mais

ISCTE-IUL. Manual VPN SIIC - URCS. (Outubro/2015) Instalação de VPN Cisco Windows 10

ISCTE-IUL. Manual VPN SIIC - URCS. (Outubro/2015) Instalação de VPN Cisco Windows 10 ISCTE-IUL SIIC - URCS Manual VPN (Outubro/2015) Instalação de VPN Cisco Windows 10 Índice Conteúdo Versão do Manual... 2 Sistemas Operativos Testados... 2 Material necessário... 2 Informação Importante...

Leia mais

PROCEDIMENTO PADRÃO. HISTÓRICO DAS ALTERAÇÕES REVISÃO DATA ITENS REVISADOS 1.1 13/01/2006 Alteração do PID de recepção de 1050 para 1070.

PROCEDIMENTO PADRÃO. HISTÓRICO DAS ALTERAÇÕES REVISÃO DATA ITENS REVISADOS 1.1 13/01/2006 Alteração do PID de recepção de 1050 para 1070. DATA: 23/01/2009 HISTÓRICO DAS ALTERAÇÕES REVISÃO DATA ITENS REVISADOS 1.1 13/01/2006 Alteração do PID de recepção de 1050 para 1070. 1.2 12/07/2006 Alteração da frequência de recepção, symbol rate (taxa

Leia mais

MICROSOFT POWERPOINT

MICROSOFT POWERPOINT MICROSOFT POWERPOINT CRIAÇÃO DE APRESENTAÇÕES. O QUE É O POWERPOINT? O Microsoft PowerPoint é uma aplicação que permite a criação de slides de ecrã, com cores, imagens, e objectos de outras aplicações,

Leia mais

Aplicações de Escritório Electrónico

Aplicações de Escritório Electrónico Universidade de Aveiro Escola Superior de Tecnologia e Gestão de Águeda Curso de Especialização Tecnológica em Práticas Administrativas e Tradução Aplicações de Escritório Electrónico Folha de trabalho

Leia mais

Acronis Servidor de Licença. Manual do Utilizador

Acronis Servidor de Licença. Manual do Utilizador Acronis Servidor de Licença Manual do Utilizador ÍNDICE 1. INTRODUÇÃO... 3 1.1 Descrição geral... 3 1.2 Política de licenças... 3 2. SISTEMAS OPERATIVOS SUPORTADOS... 4 3. INSTALAR O SERVIDOR DE LICENÇA

Leia mais

DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO

DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO Esse material contém algumas dicas que irão ajudar na confecção de placas de circuito impresso. Também foram incluídos os passos necessários para se

Leia mais

TEMA: Oficinas Configurações, workflow e funcionalidades

TEMA: Oficinas Configurações, workflow e funcionalidades APLICAÇÃO: XRP Gestão de Frota TEMA: Oficinas Configurações, workflow e funcionalidades ÚLTIMA ATUALIZAÇÃO EM: 25 de fevereiro de 2015 ÚLTIMA ATUALIZAÇÃO POR: Pedro Direito www.escritadigital.pt ÍNDICE

Leia mais

COMPETÊNCIAS BÁSICAS EM TIC NAS EB1

COMPETÊNCIAS BÁSICAS EM TIC NAS EB1 COMPETÊNCIAS BÁSICAS EM TIC NAS EB1 Oficina do Correio Para saber mais sobre Correio electrónico 1. Dicas para melhor gerir e organizar o Correio Electrónico utilizando o Outlook Express Criar Pastas Escrever

Leia mais

MICROSOFT ACCESS MICROSOFT ACCESS. Professor Rafael Vieira Professor Rafael Vieira

MICROSOFT ACCESS MICROSOFT ACCESS. Professor Rafael Vieira Professor Rafael Vieira MICROSOFT ACCESS MICROSOFT ACCESS Professor Rafael Vieira Professor Rafael Vieira - Access - Programa de base de dados relacional funciona em Windows Elementos de uma Base de Dados: Tabelas Consultas Formulários

Leia mais

TUTORIAL DE UTILIZAÇÃO DO PROTEUS

TUTORIAL DE UTILIZAÇÃO DO PROTEUS 1 Para iniciar a edição de um esquema elétrico para posterior simulação, busque no menu Iniciar do Windows, a opção Todos os Programas. Localize a pasta onde foi instalado o Proteus e selecione o programa

Leia mais

Manual de Procedimentos. Câmaras Municipais

Manual de Procedimentos. Câmaras Municipais Manual de Procedimentos Câmaras Municipais índice PÁGINA INICIAL... 4 1. Acesso à área reservada... 4 2. Recuperar senha de acesso... 4 3. Lembrar palavra-passe... 5 4. Consulta de legislação relacionada

Leia mais

Para alterar a sua palavra-passe, seleccione o item de menu "Segurança/Alterar Palavra-Passe.

Para alterar a sua palavra-passe, seleccione o item de menu Segurança/Alterar Palavra-Passe. Introdução Com o Módulo de Segurança, pode restringir os utilizadores do Maintenance Pro para ecrãs ou itens de menu específicos. O(a) utilizador(a) tem de introduzir o nome de utilizador e a palavra-passe

Leia mais

Controle Parental. Introdução

Controle Parental. Introdução Controle Parental Introdução O acesso das crianças à Internet suscita algumas preocupações aos pais e educadores/as. Contudo, tal como na vida real ou no uso de outros meios de comunicação, os adultos

Leia mais

Guia de configuração para acesso à rede sem fios EDUROAM. Windows 8

Guia de configuração para acesso à rede sem fios EDUROAM. Windows 8 Guia de configuração para acesso à rede sem fios EDUROAM Windows 8 Introdução Este guia de configuração fornece instruções para alunos, docentes e funcionários ligarem os seus computadores e dispositivos

Leia mais

Para inserir custo fixo às tarefas, siga os passos abaixo :

Para inserir custo fixo às tarefas, siga os passos abaixo : Capítulo 9 Custos 166 CUSTOS INSERINDO CUSTO FIXO AO PROJETO O custo total do projeto é o custo total de recurso somado ao custo total de custos fixos. O custo com recurso já é computado quando alocamos

Leia mais

2. Utilitários de sistema para ambiente Windows. 2.1. Ferramentas de gestão de ficheiros

2. Utilitários de sistema para ambiente Windows. 2.1. Ferramentas de gestão de ficheiros 2. Utilitários de sistema para ambiente Windows 2.1. Ferramentas de gestão de Os compressores de são programas com capacidade para comprimir ou pastas, tornando-as mais magras, ou seja, ocupando menos

Leia mais

Curso de Eng. Informática Linguagens de Programação. C Sharp University Data Processing. (C Sharp Universidade de Processamento de Dados) Docente:

Curso de Eng. Informática Linguagens de Programação. C Sharp University Data Processing. (C Sharp Universidade de Processamento de Dados) Docente: Trabalho elaborado por: Carlos Palma nº5608 Curso de Eng. Informática Linguagens de Programação C Sharp University Data Processing (C Sharp Universidade de Processamento de Dados) Docente: José Jasnau

Leia mais

CGA Directa. Manual do Utilizador. Acesso, Adesão e Lista de Subscritores

CGA Directa. Manual do Utilizador. Acesso, Adesão e Lista de Subscritores CGA Directa Manual do Utilizador Acesso, Adesão e Lista de Subscritores Versão 1.00 de 10 de Março de 2008 Índice Pág. Introdução 3 Capítulo 1 Capítulo 2 Capítulo 3 Acesso Acesso 4 Adesão Adesão 5 2.1

Leia mais

Guia rápido do utilizador

Guia rápido do utilizador Guia rápido do utilizador Índice Relatório de roubo 3 Criar um novo relatório de roubo 4 Fornecer detalhes do relatório de roubo Secção 1. Especificar o computador 5 Fornecer detalhes do relatório de roubo

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

My Storage para Microsoft Windows* XP

My Storage para Microsoft Windows* XP A Intel e o respectivo logótipo são marcas ou marcas registadas da Intel Corporation ou das respectivas subsidiárias nos Estados Unidos e noutros países. *Outros nomes e marcas podem ser reivindicados

Leia mais

Google Sites. A g r u p a m e n t o C a m p o A b e r t o 2 0 1 0 / 2 0 1 1

Google Sites. A g r u p a m e n t o C a m p o A b e r t o 2 0 1 0 / 2 0 1 1 Google Sites A g r u p a m e n t o C a m p o A b e r t o 2 0 1 0 / 2 0 1 1 1. Google Sites A Google veio anunciar que, para melhorar as funcionalidades centrais do Grupos Google, como listas de discussão

Leia mais

TECNOLOGIAS DA INFORMAÇÃO E COMUNICAÇÃO INTRODUÇÃO AO MACROMEDIA DREAMWEAVER MX 2004 O Macromedia Dreamweaver MX 2004 é um software que permite a criação de páginas de Internet profissionais, estáticas

Leia mais