Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Tamanho: px
Começar a partir da página:

Download "Programação de CPLDs no ambiente ISE 4.2i da Xilinx"

Transcrição

1 Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a linguagem Verilog, procedendo à respectiva simulação antes da fase final de programação. 1-Lançar o programa Project Navigator. A janela que é lançada está dividida em várias partes cuja utilização será descrita à medida do necessário. A parte inferior é uma janela de comandos onde aparece informação referente à execução das diversas aplicações que compõem o software. 2-File -> New Project. 3-Surge uma nova janela onde deverá ser colocado o nome do projecto e a respectiva localização e seleccionar as seguintes opções: Device Family: XC9500 CPLDs Device: XC9536 PC44 Design Flow: XST Verilog Esta última opção determina a linguagem de descrição de hardware (LDH) que será utilizada numa das fases intermédias de descrição do circuito (transparente para o utilizador) e indica também a LDH que poderá ser usada na descrição do circuito. A opção por uma das linguagens é necessária mesmo quando se pretenda usar apenas o editor de esquema eléctrico. 4-Para criar cada novo módulo é necessário fazer: Project -> New Source e escolher: Schematic: abre a aplicação ECS para edição do circuito eléctrico. Verilog Module: abre uma janela onde se definem as entradas e saídas do módulo, gerando de seguida a cabeçalho do mesmo num ficheiro de texto. Test Bench Waveform: abre uma janela que permite escolher o módulo que vai ser testado e após a selecção lança a aplicação HDL Bencher. 5-Dentro da aplicação ECS para desenhar o circuito pretendido são úteis os seguintes passos: Colocar um componente: Add -> Symbol (pode ser feito a partir do botão correspondente), escolher Categories e Symbols. Colocar uma ligação: Add -> Wire (pode ser feito a partir do botão correspondente). Colocar um nome em cada sinal: Add -> Net Name (pode ser feito a partir do botão correspondente), preencher o campo do nome e clicar sobre o fio correspondente. Identificar entradas e saídas: Add -> I/O Marker (pode ser feito a partir do botão correspondente), escolher o tipo sinal e clicar sobre o extremo do fio correspondente. Verificar o circuito: Tools -> Check Schematic. Programação de CPLDs Xilinx FMD 1

2 6-Após a criação de um ficheiro de Verilog é necessário: Completar a descrição que é criada com a definição das entradas e saídas. Seleccionar o ficheiro Verilog na janela Sources in Project e na janela Processes for Current Source expandir a opção Synthesize e clicar em Check Syntax. 7-Para criar um símbolo para um bloco (independentemente do tipo de descrição do mesmo) é necessário fazer: Seleccionar o bloco a partir do qual se pretende criar o símbolo em Sources in Project e na janela Processes for Current Source expandir a opção Design Entry Utilities e clicar em Create Schematic Symbol. O símbolo passa a estar disponível no editor ECS na categoria referente à directoria onde se encontra o projecto. 8-Para testar um módulo é necessário criar um ficheiro do tipo Test Bench Waveform como referido em 4. Uma vez lançada a aplicação HDL Bencher é necessário efectuar os seguintes passos: Escolher os parâmetros de simulação que aparecem na janela inicial. A janela do HDL Bencher contem na parte superior as formas de onda dos sinais de entrada que podem ser editadas usando o rato e na parte inferior existe uma descrição na LDH escolhida em 3 do bloco a ser testado. Alterar os sinais de entrada e gravar as formas de onda. Fechar o HDL Bencher, escolhendo o número de ciclos de relógio que a simulação deverá usar após a última alteração das entradas. No Project Navigator escolher o ficheiro de simulação em Sources in Project e na janela Processes for Current Source expandir a opção ModelSim Simulator e clicar em Generate Expected Simulation Results. O HDL Bencher é novamente lançado e mostra os resultados da simulação. 9-Para programar o CPLD é necessário efectuar os seguintes passos: Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source clicar na opção Generate Programming File. O projecto é compilado. Em resultado da compilação é possível ver a atribuição dos pinos feita pelo software. Para ver esta informação seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Implement Design, expandir a opção Fit e abrir o ficheiro Fitter Report. Neste ficheiro existe informação da atribuição dos recursos do CPLD e indicação da atribuição dos pinos. Caso seja pretendido também é possível fixar os pinos de cada entrada durante a fase de projecto. Programação de CPLDs Xilinx FMD 2

3 Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Generate Programming File e clicar em Configure Device (impact). A aplicação impact é lançada e procura de forma automática a ligação física que permite fazer a programação. No centro da aplicação impact surge o símbolo do CPLD que após seleccionado permite, usando o botão do lado direito, aceder a várias opções. Seleccionar Program -> OK para efectuar a programação. Após a programação pode efectuar-se a verificação da mesma fazendo Verify. Para fazer uma nova programação estão também disponíveis as opções de Erase e Blank Check. Programação de CPLDs Xilinx FMD 3

4 Informação sobre o encapsulamento Os CPLDs XC9536 estão montados em adaptadores de 40 pinos que permitem a sua montagem em bread boards e a ligação aos programadores usando ZIFs. Como os CPLDs têm 44 pinos e o adaptador 40, existem 4 pinos que não têm ligação. A informação seguinte é fornecida pelo software da Xilinx e indica quais são os pinos cuja função esta previamente definida e a sua numeração. Device : XC PC44 T T T T T T T T T V T I I I I I I I I I C I E E E E E E E E E C E / \ TIE 7 39 TIE TIE 8 38 TIE TIE 9 37 TIE GND TIE TIE 11 XC PC44 35 TIE TIE TIE TIE TIE TIE VCC TDI GND TMS TDO TCK TIE \ / T T T V T G T T T T T I I I C I N I I I I I E E E C E D E E E E E Legend: NC = Not Connected, unbonded pin TIE = Tie pin to GND or board trace driven to valid logic level VCC = Dedicated Power Pin GND = Dedicated Ground Pin TDI = Test Data In, JTAG pin TDO = Test Data Out, JTAG pin TCK = Test Clock, JTAG pin TMS = Test Mode Select, JTAG pin PE = Port Enable pin PROHIBITED = User reserved pin Os pinos assinalados a bold são pinos que não estão disponíveis devido ao adaptador para Dual In-line Package (DIP). Programação de CPLDs Xilinx FMD 4

5 A correspondência entre os pinos originais do CPLD e os pinos do adaptador está representada na figura seguinte. Pinos do CPLD XC Socket DIP Pinos do CPLD XC Programação de CPLDs Xilinx FMD 5

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

1. Manual Resumido de Gestão de Contratos

1. Manual Resumido de Gestão de Contratos 1. Manual Resumido de Gestão de Contratos ÍNDICE 1. MANUAL RESUMIDO DE GESTÃO DE CONTRATOS 1 1.1 MENU GESTÃO DE CONTRATOS... 3 1.1.1 INTRODUÇÃO... 3 1.1.2 APRESENTAR CONTRATOS... 3 1.1.2.1 PASSO 1 IDENTIFICAÇÃO

Leia mais

FOLHA DE CÁLCULO ELABORAÇÃO DE GRÁFICOS

FOLHA DE CÁLCULO ELABORAÇÃO DE GRÁFICOS ELABORAÇÃO DE GRÁFICOS Elaboração de gráficos Os gráficos são visualmente atraentes e constituem uma fantástica ajuda para a apresentação e interpretação de dados armazenados numa folha de cálculo. Numa

Leia mais

FOLHA DE CÁLCULO VAMOS APRENDER

FOLHA DE CÁLCULO VAMOS APRENDER VAMOS APRENDER Operações elementares numa folha de cálculo: Movimentação na folha de trabalho Inserção de dados numa célula Inserção de Comentários numa célula Eliminar o conteúdo de uma célula Formatação

Leia mais

Ambiente de programação Visual Lisp

Ambiente de programação Visual Lisp AutoLISP -IV Ambiente de programação Visual Lisp João Manuel R. S. Tavares / JOF Ambiente de programação Visual Lisp O software Visual Lisp é um ambiente de programação para a linguagem AutoLisp do AutoCAD.

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

GUIA DE ACESSO À APLICAÇÃO DO PROGRAMA SUDOE PREENCHIMENTO DO PLANO FINANCEIRO DO PROJECTO

GUIA DE ACESSO À APLICAÇÃO DO PROGRAMA SUDOE PREENCHIMENTO DO PLANO FINANCEIRO DO PROJECTO GUIA DE ACESSO À APLICAÇÃO DO PROGRAMA SUDOE PREENCHIMENTO DO PLANO FINANCEIRO DO PROJECTO Esta apresentação PowerPoint explica o método a seguir para o preenchimento do plano financeiro do V. projecto.

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Manual de Utilização do Mendeley. Autora: Maria de Lurdes dos Santos Pereira

Manual de Utilização do Mendeley. Autora: Maria de Lurdes dos Santos Pereira Manual de Utilização do Mendeley Autora: Maria de Lurdes dos Santos Pereira 2014 1 Mendeley O Mendeley é uma rede social académica que permite a criação de um perfil online de grupos nas áreas disciplinares

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

Documento Geral Explicativo. GS1 Portugal

Documento Geral Explicativo. GS1 Portugal GS1 Portugal Sumário Plataforma Registo Nacional de Códigos... 3 Enquadramento... 3 Criar Produto... 6 Código Interno... 6 Escolher imagem... 6 Visibilidade na plataforma SyncPT... 7 Guardar e/ou Atribuir...

Leia mais

Microsoft Excel Ficha prática n. 8

Microsoft Excel Ficha prática n. 8 1. Inicie o Microsoft Excel e abra o livro apoio_ficha8.xlsx. 2. Grave o livro com o nome Ficha8. 3. Crie uma macro, usando o gravador de macros, que formate a vermelho as notas finais negativas duma pauta

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

1. Como fazer uma pesquisa

1. Como fazer uma pesquisa 1. Como fazer uma pesquisa Formulários Cada formulário possui quatro controlos: o operador lógico, o campo de pesquisa, a caixa de inserção de texto e o botão de termos de pesquisa. Para realizar uma pesquisa

Leia mais

Guia de configuração para acesso à rede sem fios EDUROAM. Windows 7

Guia de configuração para acesso à rede sem fios EDUROAM. Windows 7 Guia de configuração para acesso à rede sem fios EDUROAM Windows 7 Conteúdos: Índice de figuras... 3 Introdução... 4 Windows 7... 5 Configuração para acesso wireless... 5 2 Índice de figuras Figura 1 -

Leia mais

Sistema de Recuperação da Senha nos Sistemas Informáticos da FEUP

Sistema de Recuperação da Senha nos Sistemas Informáticos da FEUP Sistema de Recuperação da Senha nos Sistemas Informáticos da FEUP Unidade de Sistemas de Informação Centro de Informática Prof. Correia de Araújo Faculdade de Engenharia da Universidade do Porto Índice

Leia mais

O QUE É O PICTURETEL 550

O QUE É O PICTURETEL 550 O QUE É O PICTURETEL 550 O PictureTel 550 é um conjunto hardware/software que aproveita as potencialidades da linha RDIS e que permite, por exemplo: Estabelecer comunicações telefónicas e de videoconferência

Leia mais

Laboratório nº 5 FUNCIONAMENTO DO ADDRESS RESOLUTION PROTOCOL

Laboratório nº 5 FUNCIONAMENTO DO ADDRESS RESOLUTION PROTOCOL D E P A R T A M E N T O D E E N G E N H A R I A I N F O R M Á T I C A L i c e n c i a t u r a e m J o g o s D i g i t a i s e M u l t i m é d i a T e c n o l o g i a I n f o r m á t i c a e d e C o m u

Leia mais

X-CONFIG PROGRAMA DE CONFIGURAÇÃO

X-CONFIG PROGRAMA DE CONFIGURAÇÃO X-CONFIG PROGRAMA DE CONFIGURAÇÃO Índice Introdução...2 Como utilizar o XCONFIG...3 A interface da aplicação...3 Ecrã Impressão...5 Ecrã Comunicação...7 Ecrã Sistema Operativo...8 Ecrã Utilizador...9 Ecrã

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

1 - Enviar e-mail dinâmico

1 - Enviar e-mail dinâmico 1 - Enviar e-mail dinâmico O email dinâmico é um módulo que lhe permite enviar uma mensagem de correio electrónico para vários destinatários em simultâneo de uma forma simples. A primeira etapa do módulo

Leia mais

Plataforma Moodle ESTeSL

Plataforma Moodle ESTeSL Plataforma Moodle ESTeSL A plataforma Moodle da Escola Superior de Tecnologia da Saúde de Lisboa (ESTeSL) está disponível a partir de qualquer dispositivo com acesso à Internet, no endereço: http://moodle.estesl.ipl.pt

Leia mais

Versão Portuguesa. Introdução. Instalação de Hardware. Adaptador Ethernet Powerline LC202 da Sweex de 200 Mbps

Versão Portuguesa. Introdução. Instalação de Hardware. Adaptador Ethernet Powerline LC202 da Sweex de 200 Mbps Adaptador Ethernet Powerline LC202 da Sweex de 200 Mbps Introdução Não exponha o Adaptador Ethernet Powerline da Sweex de 200 Mbps a temperaturas extremas. Não exponha o equipamento a luz solar directa

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Modem e rede local Guia do usuário

Modem e rede local Guia do usuário Modem e rede local Guia do usuário Copyright 2008 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias para produtos

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

MANUAL SERVIÇOS E AGENDAMENTO

MANUAL SERVIÇOS E AGENDAMENTO MANUAL SERVIÇOS E AGENDAMENTO Versão 1.0 Novembro 2013 ÍNDICE 1 SERVIÇOS NO AUTOSYSTEM...3 2 CRIAR SERVIÇO E AGENDAR TAREFA...3 2.1 Criar Serviço e Agendar Tarefas no Ubuntu-LINUX...4 2.1.1 Como Usar o

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Requisitos e Manual de Configuração do Internet Explorer 9

Requisitos e Manual de Configuração do Internet Explorer 9 AllianzNet Requisitos e Manual de Configuração do Internet Explorer 9 Software Requisitos para o correcto funcionamento com o AllianzNet - Browser Internet Explorer, mínimo versão 8 - Pode ser instalado

Leia mais

Manual do Administrador

Manual do Administrador RePe Repositório de e-portefólios Educativos Manual do Administrador Janeiro de 2008 1. O Módulo portefolioaluno O Módulo portefolioaluno, actualmente na versão 1.0, funciona no ambiente Moodle. Utiliza

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais

Figura 1 - Acesso às propriedades da placa de rede sem fios

Figura 1 - Acesso às propriedades da placa de rede sem fios Campus de Gualtar 4710-057 Braga P Serviço de Comunicações Configuração da rede eduroam em Windows XP Para aceder à Rede Wi-Fi da Universidade do Minho utilizando o standard 802.1x deverá actualizar o

Leia mais

Manual do SecurDisc Viewer

Manual do SecurDisc Viewer Manual do SecurDisc Nero AG SecurDisc Informações sobre direitos de autor e marcas comerciais Este manual e todo o respectivo conteúdo estão protegidos por direitos de autor e são propriedade da Nero AG.

Leia mais

O Correio Electrónico (E-Mail) é um dos serviços mais populares da Internet.

O Correio Electrónico (E-Mail) é um dos serviços mais populares da Internet. O Correio Electrónico internet O Correio Electrónico O Correio Electrónico (E-Mail) é um dos serviços mais populares da Internet. Com o Correio Electrónico pode enviar mensagens para pessoas de todo o

Leia mais

6 CONCEPÇÃO BÁSICA DO SISTEMA DE APOIO À DECISÃO

6 CONCEPÇÃO BÁSICA DO SISTEMA DE APOIO À DECISÃO 78 6 CONCEPÇÃO BÁSICA DO SISTEMA DE APOIO À DECISÃO Neste capítulo serão apresentados: o sistema proposto, o procedimento de solução para utilização do sistema e a interface gráfica, onde é ilustrada a

Leia mais

2.1 Dê duplo clique com o botão esquerdo do mouse sobre o instalador.

2.1 Dê duplo clique com o botão esquerdo do mouse sobre o instalador. 1 Baixando o Player 4YouSee O programa de instalação do Player 4YouSee para Windows está disponível para download na página: http://www.4yousee.com.br/instalar_player_4yousee_-_v2.0.4425.exe 2 Instalando

Leia mais

SICLOM Mapa Mensal. 1 Mapa Mensal. Versão: 2012. Manual de preenchimento do mapa mensal no SICLOM Gerencial

SICLOM Mapa Mensal. 1 Mapa Mensal. Versão: 2012. Manual de preenchimento do mapa mensal no SICLOM Gerencial 1 Mapa Mensal SICLOM Mapa Mensal Manual de preenchimento do mapa mensal no SICLOM Gerencial Versão: 2012 2 Mapa Mensal 3 Mapa Mensal Índice.. Introdução. 5 Como Acessar o SICLOM Gerencial. 5 Menu Geral.

Leia mais

Área Reservada do Beneficiário da ADM Informação em Tempo Real.

Área Reservada do Beneficiário da ADM Informação em Tempo Real. Área Reservada do Beneficiário da ADM Informação em Tempo Real. Os conteúdos disponíveis na área reservada dos Beneficiários da Assistência na Doença aos Militares (ADM), no portal IASFA/ADM na internet,

Leia mais

Comiqs Manual e Guia de exploração do Comiqs para utilização em contexto de Educação Visual e Tecnológica. Rosabela Agostinho Borges

Comiqs Manual e Guia de exploração do Comiqs para utilização em contexto de Educação Visual e Tecnológica. Rosabela Agostinho Borges Estudo sobre a integração de ferramentas digitais no currículo da disciplina de Educação Visual e Tecnológica Comiqs Manual e Guia de exploração do Comiqs para utilização em contexto de Educação Visual

Leia mais

Guia do Controlador Universal de Impressão

Guia do Controlador Universal de Impressão Guia do Controlador Universal de Impressão Brother Universal Printer Driver (BR-Script3) Brother Mono Universal Printer Driver (PCL) Brother Universal Printer Driver (Inkjet) Versão B POR 1 Visão geral

Leia mais

Manual do sistema SMARam. Módulo Cadastro de Bens Intangíveis

Manual do sistema SMARam. Módulo Cadastro de Bens Intangíveis Manual do sistema SMARam Módulo Cadastro de Bens Intangíveis LISTA DE FIGURAS Figura 1-Acesso ao Cadastro de Bens Intangíveis... 5 Figura 2-Aba básico... 5 Figura 3-Aba Financeiro... 6 Figura 4-Aba Movimentação...

Leia mais

Configurar conta corrente para cada Forma de Pagamento

Configurar conta corrente para cada Forma de Pagamento Configurar conta corrente para cada Forma de Pagamento Primeiro de tudo cadastrar uma conta corrente para a conta. Entre no módulo Financeiro > conta corrente > cadastro de conta corrente. Atenção: É necessário

Leia mais

Alteração do Status da Denúncia

Alteração do Status da Denúncia Alteração do Status da Denúncia Versão 1.0 Índice 1. Introdução... 2 2. Alterando o status da denúncia... 2 Alteração do Status da Denúncia Pág. 2 1. Introdução Como já vimos em módulos anteriores, uma

Leia mais

56K ESP-2 Modem 56K ESP-2 MODEM. Manual de instalação rápida. Versão 1.0

56K ESP-2 Modem 56K ESP-2 MODEM. Manual de instalação rápida. Versão 1.0 56K ESP-2 MODEM Manual de instalação rápida Versão 1.0 1 1. Introdução Este manual foi concebido para os utilizadores do 56K ESP-2 Modem. Não são necessários conhecimentos prévios para a instalação e utilização

Leia mais

Introdução à Programação. Microsoft WINDOWS XP 2005-2006. Eng. Ambiente CA

Introdução à Programação. Microsoft WINDOWS XP 2005-2006. Eng. Ambiente CA Mais que um Sistema Operativo, o MS-Windows é um ambiente de trabalho que simula no ecrã o trabalho diário sobre uma secretária. A ideia base do MS-Windows foi a de esconder a organização do MS-DOS e apresentar

Leia mais

Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência

Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência Bem-Vindo ao ZoomText Express O ZoomText Express é um programa simples de ampliação para o seu computador.

Leia mais

Sistemas Digitais Ficha Prática Nº 7

Sistemas Digitais Ficha Prática Nº 7 Departamento de Sistemas Digitais Ficha Prática Nº 7 Implementação de um conversor analógico/ digital tipo Flash: com Codificador e com Descodificador Grupo: Turma: Elementos do Grupo: 1. Introdução Os

Leia mais

USB PARA CONVERSOR EM SÉRIE

USB PARA CONVERSOR EM SÉRIE USB PARA CONVERSOR EM SÉRIE Manual de Instalação Rápida Windows 7/8/8.1 DA-70158 Passo 1: Passo 2: Introduzir o CD deste produto na entrada CD-ROM Ligar o dispositivo à porta USB extra do seu PC. Se existir

Leia mais

PLANIFICAÇÃO ANUAL PROFIJ II T2 Ano letivo 2015 / 2016

PLANIFICAÇÃO ANUAL PROFIJ II T2 Ano letivo 2015 / 2016 PLANIFICAÇÃO ANUAL PROFIJ II T2 Ano letivo 2015 / 2016 CURSO: INSTALAÇÃO e Operação de Sistemas Informáticos ANO: 1.º DISCIPLINA: APLICAÇÕES INFORMÁTICAS DE ESCRITÓRIO DOCENTE: MARIANA VIEIRA COMPETÊNCIAS

Leia mais

JUP JANELA ÚNICA PORTUÁRIA

JUP JANELA ÚNICA PORTUÁRIA Administração do Porto de Douro e Leixões JUP JANELA ÚNICA PORTUÁRIA Equipa PIPe Kick Off JUP / APDL / 00-06-6 ÍNDICE 0 Objectivo 0 Conceitos Gerais 0 Escalas de Navios 04 Área Pessoal 05 Funcionalidades

Leia mais

Manual de Utilizador Portal de Formação RE/MAX v4.0

Manual de Utilizador Portal de Formação RE/MAX v4.0 Manual de Utilizador Portal de Formação RE/MAX v4.0 Índice ACESSO...3 ÁREA CONTA...4 ÁREA DOCUMENTAÇÃO...6 ÁREA DESTAQUE...6 ÁREA VIDEOS...7 ÁREA NOTICIAS...7 ÁREA PERCURSO...8 MENU TOPO...8 HOME...8 CALENDÁRIO

Leia mais

Manual MicroICD ZIF ver.1.0

Manual MicroICD ZIF ver.1.0 Manual MicroICD ZIF ver.1.0 www.microgenios.com.br www.microgenius.com.br www.portalwebaula.com.br Gravador de microcontroldores PIC via USB 2.0. (gravação In-Circuit) Compatível com todas as portas USB's.

Leia mais

O Manual do SymbolEditor. Stephen P. Allewell

O Manual do SymbolEditor. Stephen P. Allewell Stephen P. Allewell 2 Conteúdo 1 Introdução 5 2 A Interface do Utilizador 6 2.1 A Janela Principal do SymbolEditor........................... 6 2.1.1 Janela do Editor...................................

Leia mais

Serviço de Cópia e Impressão: Como instalar

Serviço de Cópia e Impressão: Como instalar Serviço de Cópia e Impressão: Como instalar Direção de Serviços Informáticos Instituto Politécnico de Leiria 23-01-2015 Índice 1. Instalação de Impressoras... 3 1.1. Instalação do package com os drivers

Leia mais

Índice. 1. Descrição Geral do Módulo. Módulo Processador CPU209-R1 V1.00-22/07/05

Índice. 1. Descrição Geral do Módulo. Módulo Processador CPU209-R1 V1.00-22/07/05 Módulo Processador CPU209-R V.00-22/07/05 Índice. Descrição Geral do Módulo... 2.EspecificaçõesTécnicas...2 3. Configuração do Módulo...2 3. Configuração de Memória...2 3.2 Strap de Inicialização do Módulo...3

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Francisco S. do C. Pereira

Francisco S. do C. Pereira Micro Tutorial do Hades Francisco S. do C. Pereira SUMÁRIO Criando portas AND......2 Criando portas OR......3 Criando portas Not (inversor)...4 Criando portas NAND......5 Criando portas NOR......6 Entrada

Leia mais

Calculadora Virtual HP Prime

Calculadora Virtual HP Prime Calculadora Virtual HP Prime Windows é uma marca comercial do grupo de empresas Microsoft. As informações contidas neste documento estão sujeitas a alterações sem aviso prévio. As únicas garantias para

Leia mais

O PowerPoint na Sala de Aula

O PowerPoint na Sala de Aula Nesse caso, o documento novo, ou seja o diapositivo, não será um documento separado do que já estava a ser feito, mas sim um diapositivo a mais no mesmo documento. Se desejamos começar um trabalho separado

Leia mais

GIAE ONLINE ( Atualização disponibilizada em 8 de Novembro de 2011 )

GIAE ONLINE ( Atualização disponibilizada em 8 de Novembro de 2011 ) GIE ONLINE ( tualização disponibilizada em 8 de Novembro de 2011 ) 1 Índice Índice 2 Índice de Figuras 3 1. Introdução 4 2. Consulta de Sumários 5 3. Marcação de Testes 6 4. Movimentos da Portaria 7 5.

Leia mais

Ferramentas Web, Web 2.0 e Software Livre em EVT

Ferramentas Web, Web 2.0 e Software Livre em EVT Estudo sobre a integração de ferramentas digitais no currículo da disciplina de Educação Visual e Tecnológica Origami.org Manual e Guia de exploração do Origami.org para utilização em contexto de Educação

Leia mais

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007 Projecto de Sistemas Digitais I Lic. em Engenharia de Sistemas e Informática 2 o Ano 2006/2007 António J. Esteves Dep. Informática, Universidade do Minho Braga, Portugal 16 de Abril de 2007 Projecto Objectivos

Leia mais

Implementação do Relatório de Falhas em SAP-PM

Implementação do Relatório de Falhas em SAP-PM Implementação do Relatório de Falhas em SAP-PM Este artigo pretende apresentar um projecto de implementação do relatório de falhas no módulo PM do SAP. O conceito básico que presidiu ao desenvolvimento

Leia mais

Usando o painel do operador

Usando o painel do operador Esta seção contém informações sobre o painel do operador, sobre a alteração das definições da impressora e sobre os menus do painel do operador. 1 É possível mudar a maioria das definições da impressora

Leia mais

Manual de Utilização

Manual de Utilização Manual de Utilização Índice 1. Localização dos Ficheiros Normas de Utilização... 3 2. Alteração do ficheiro das Normas de Utilização... 5 3. Inserir Normas de Utilização de um Produto... 7 4. Editor de

Leia mais

Guia de configuração rápida

Guia de configuração rápida FAX-2825 Antes de utilizar o aparelho, deve configurar o hardware. Leia este Guia de Configuração Rápida para os procedimentos de configuração correcta. Guia de configuração rápida Configurar o aparelho

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

BIZAGI PROCESS MODELER - TUTORIAL INSTALAÇÃO E PRIMEIRO ACESSO

BIZAGI PROCESS MODELER - TUTORIAL INSTALAÇÃO E PRIMEIRO ACESSO BIZAGI PROCESS MODELER - TUTORIAL INSTALAÇÃO E PRIMEIRO ACESSO A instalação do aplicativo deve ser solicitada via Central de Serviços de TI (tel. 2107-8666). Após a instalação um ícone do Bizagi ficará

Leia mais

Cadeira de Tecnologias de Informação. Ano lectivo 2009/2010. Sites dinâmicos. Com Expression Web (Parte 2) TI2009/10 EWD_1

Cadeira de Tecnologias de Informação. Ano lectivo 2009/2010. Sites dinâmicos. Com Expression Web (Parte 2) TI2009/10 EWD_1 Cadeira de Tecnologias de Informação Ano lectivo 2009/2010 Sites dinâmicos Com Expression Web (Parte 2) TI2009/10 EWD_1 Como fazer um Insert (1) Antes de aprendermos a fazer um Insert vamos alterar a página

Leia mais

SInOA - Sistema de Informação da Ordem dos Advogados

SInOA - Sistema de Informação da Ordem dos Advogados SInOA - Sistema de Informação da Ordem dos Advogados Acesso ao Direito Portal da Ordem dos Advogados Manual de Utilizador: Substituição em escalas Versão 1.0 Julho de 2010 ÍNDICE 1 ESCALAS 1.1 Substituição

Leia mais

XEROX Security Bulletin XRX05-003 Documento versão 1.1 Última revisão: 14/03/05

XEROX Security Bulletin XRX05-003 Documento versão 1.1 Última revisão: 14/03/05 BOLETIM DE SEGURANÇA XEROX XRX05-003 A vulnerabilidade no servidor http no Controlador de Rede/ESS pode portencialmente permitir o acesso não autorizado. A seguinte solução de software e instruções de

Leia mais

Abertura de Portas Evo-W108AR

Abertura de Portas Evo-W108AR Abertura de Portas Evo-W108AR Para a abertura de portas de nosso Router para Cabo, siga os seguintes passos: - Escolha no menu a opção Reglas Permitidas (Regras Permitidas) e das opções que aparecem escolha

Leia mais

O que é Microsoft Excel? Microsoft Excel. Inicialização do Excel. Ambiente de trabalho

O que é Microsoft Excel? Microsoft Excel. Inicialização do Excel. Ambiente de trabalho O que é Microsoft Excel? Microsoft Excel O Microsoft Excel é um programa para manipulação de planilhas eletrônicas. Oito em cada dez pessoas utilizam o Microsoft Excel pra trabalhar com cálculos e sistemas

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Guia do Brother ScanViewer para ios/os X

Guia do Brother ScanViewer para ios/os X Guia do Brother ScanViewer para ios/os X Versão 0 POR Definições de notas Ao longo deste Manual do Utilizador, é utilizado o seguinte estilo de nota: NOTA As Notas indicam o que fazer se ocorrerem determinadas

Leia mais

INFORMÁTICA PARA GESTÃO II Curso Superior de Gestão de Marketing

INFORMÁTICA PARA GESTÃO II Curso Superior de Gestão de Marketing INFORMÁTICA PARA GESTÃO II Curso Superior de Gestão de Marketing Docente (Teóricas): Eng.º Vitor M. N. Fernandes Web: http://www.vmnf.net/ipam Mail: vmnf@yahoo.com Aula 10 Sumário Relacionamentos entre

Leia mais

PESQUISA PRÉVIA DE PREÇOS

PESQUISA PRÉVIA DE PREÇOS INSTRUÇÃO FDE / DRA Nº 005/2011 PESQUISA PRÉVIA DE PREÇOS NOVA SISTEMÁTICA DE LANÇAMENTO NO SISTEMA GDAE Fevereiro/2011 Página 1 de 13 1 Apresentação Com o objetivo de aprimorar e tornar mais consistentes

Leia mais

Sistema Salas - (MRBS¹)

Sistema Salas - (MRBS¹) Sistema Salas - (MRBS¹) Manual de utilização Versão 1.1 Rua Princesa Isabel Feliz RS 95770-000 T. (51) 3637-4424 sti@feliz.ifrs.edu.br http://salas.feliz.ifrs.edu.br 1 - MRBS MEETING ROOM BOOKING SYSTEM

Leia mais

Telecomando Live-View

Telecomando Live-View Telecomando Live-View RM-LVR1 Este Guia é um suplemento do Manual de Instruções desta unidade. Apresenta algumas funções adicionadas ou modificadas e descreve o respetivo funcionamento. Consulte também

Leia mais

Programação de Computadores I. Linguagem C Função

Programação de Computadores I. Linguagem C Função Linguagem C Função Prof. Edwar Saliba Júnior Fevereiro de 2011 Unidade 07 Função 1 Conceitos As técnicas de programação dizem que, sempre que possível, evite códigos extensos, separando o mesmo em funções,

Leia mais

Objectos Gráficos 1. APROG (Civil) Aula 4. Friday, November 2, 12

Objectos Gráficos 1. APROG (Civil) Aula 4. Friday, November 2, 12 Objectos Gráficos 1 APROG () Aula 4 1 Objectos Gráficos Estes objectos são utilizados para construir interfaces gráficas permitindo uma interacção directa do macro com o utilizador. 2 2 Form Uma interface

Leia mais

Studio Primary Descrição

Studio Primary Descrição Mostrar Home > Caixas de ferramentas e Barras de ferramentas > Caixas de ferramentas e Barras de ferramentas Esta secção fornece uma descrição geral das ferramentas e funções disponíveis nas caixas de

Leia mais

Fevereiro 2013 v1.3/dbg

Fevereiro 2013 v1.3/dbg dbgep-v Alteraço es para 2013 Fevereiro 2013 v1.3/dbg Processamento de vencimentos... 3 Alterações ao módulo dbgep-v... 3 Alterações introduzidas pela versão 3.5.0 de 16-01-2013... 3 Tabela de Impostos...

Leia mais

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62 ANEXO I O Ambiente de desenvolvimento MpLab IDE v6.62 Como ferramenta de desenvolvimento do código dos microcontroladores utilizou-se o MpLab IDE v6.62 da Microchip. A linguagem de programação utilizada

Leia mais

Folha de cálculo (Iniciação)

Folha de cálculo (Iniciação) Folha de cálculo (Iniciação) Sessões Presenciais (12h): 7, 8 e 9 de Setembro de 2011 das 9:00 às 13:00 Sessões de trabalho autónomo (13h): 7, 8 e 9 de Setembro de 2011 Prof. Vítor Barros 1-A Interface

Leia mais

6.1 Arranjos (arrays)

6.1 Arranjos (arrays) 6.1 Arranjos (arrays) Até ao momento apenas se trabalhou com números escalares. Em LabView um arranjo (array) consiste numa colecção de elementos todos do mesmo tipo. Um array pode ter uma ou mais dimensões.

Leia mais

Módulo de Catalogação e Pesquisa

Módulo de Catalogação e Pesquisa Módulo de Catalogação e Pesquisa BIBLIObase, versão 2004 Procedimentos de instalação 1. EQUIPAMENTO MÍNIMO NECESSÁRIO Antes de instalar o BIBLIObase verifique se a configuração e as características mínimas

Leia mais

Parabéns pela escolha do cartão TOURS.

Parabéns pela escolha do cartão TOURS. Manual de utilizador Cartão Tours Parabéns pela escolha do cartão TOURS. Para obter o melhor desempenho, recomendamos ler atentamente este Manual de Instruções antes de realizar as operações. Índice Segurança...

Leia mais

CabloCAD 2009. Usar o CabloCAD

CabloCAD 2009. Usar o CabloCAD CabloCAD 2009 CabloCAD 2009 é uma aplicação de apoio ao desenho de caminhos de cabos em varão CABLOFIL. Este software é um plugin para as aplicações mais utilizadas do software CAD: AutoCAD, AutoCAD LT*,

Leia mais

Engenharia Biomédica ELECTRÓNICA UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA. Ficha Técnica do trabalho prático: Aparelhos de Medida

Engenharia Biomédica ELECTRÓNICA UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA. Ficha Técnica do trabalho prático: Aparelhos de Medida DEI 1/15 DEI 2/15 DEI 3/15 DEI 4/15 DEI 5/15 DEI 6/15 Elementos Constituintes Breve Descrição: DEI 7/15 6. PONTAS DE PROVA DO OSCILOSCÓPIO As pontas de prova do osciloscópio têm num extremo um conector

Leia mais

Configuração de um moving head com canais RGB

Configuração de um moving head com canais RGB Configuração de um moving head com canais RGB Antes de começar precisamos do manual do aparelho em mãos ou então o aparelho conectado na interface para descobrir manualmente a função de cada canal... No

Leia mais

Maratona de Programação - Dicas Para Iniciantes

Maratona de Programação - Dicas Para Iniciantes Sumário Maratona de Programação - Dicas Para Iniciantes Prof. Josué Pereira de Castro Universidade Estadual do Oeste do Paraná Curso de Bacharelado em Informática Cascavel, 2009 Sumário Sumário 1 Conceitos

Leia mais