Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Tamanho: px
Começar a partir da página:

Download "Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx"

Transcrição

1 Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007

2 ÍNDICE: 1. INTRODUÇÃO: CONFIGURAÇÃO: PROJECTO: Aplicação ECS Schematic Editor: Aplicação HDL Bencher: Aplicação PACE: Aplicação impact: BIBLIOGRAFIA E LINKS: ANEXOS (Adaptadores DIL40/PLCC44 e DIL84/PLCC84) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 2

3 1. INTRODUÇÃO: A aplicação Xilinx ISE Foundation 6.1i é uma ferramenta integrada de desenvolvimento para dispositivos de lógica programável tais como as CPLDs (Complex Programmable Logic Devices) e as FPGAs (Field-Programmable Gate Arrays). Embora esta ferramenta tenha custos de aquisição, a empresa Xilinx disponibiliza no seu site ( a versão ISE WebPACK gratuita, muito semelhante a esta, e que permite também programar este tipo de dispositivos, embora sem dispor dos algoritmos avançados de optimização e de algumas ferramentas adicionais do ISE Foundation. Refira-se desde já que algumas figuras deste texto são relativas à aplicação ISE WebPACK. Associada a esta aplicação existe uma outra, designada de ModelSim, da empresa Mentor Graphics que permite a simulação digital para o ambiente Xilinx. É também disponibilizada a custo zero mediante o registo no site da Xilinx e uma licença (ficheiro licence.dat) que é automaticamente devolvida, uma versão do ModelSim para estudantes - o ModelSim XE/Starter, embora esta versão seja mais limitada, principalmente no que concerne ao número de linhas de programação disponíveis. Figuras 1, 2 e 3: Ícones Project Navigator, ModelSim XE Starter e WebPACK Project Navigator Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 3

4 2. CONFIGURAÇÃO: O ícone Project Navigator abre, com a visualização da sua janela principal: Figura 4: Janela principal do Project Navigator. Antes de criar um projecto é necessário verificar na opção Edit Preferences Integrated Tools se a aplicação ModelSim está activa (com a ligação para o respectivo ficheiro executável modelsim.exe). Esta aplicação irá permitir a simulação digital no ambiente Xilinx Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 4

5 Figura 5: Opção Integrated Tools. Convém desde já referir que existe uma limitação no Project Navigator: nenhum projecto ou ficheiro poderá conter um espaço no seu nome ou caminho Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 5

6 3. PROJECTO: Para criar um novo projecto faça: File New Project. Torna-se então necessário atribuir um nome ao projecto: Figura 6a: Janela New Project. Figura 6b: Janela Project Properties Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 6

7 De seguida, a partir da segunda janela (figura 6b) deverá escolher a família e o dispositivo de lógica programável a utilizar (ex: XC9500 CPLDs, XC9536), o encapsulamento, o tipo de velocidade, bem como as linguagens de descrição de hardware, a ferramenta de síntese e o simulador utilizado (Modelsim). Nas janelas seguintes escolha opção Seguinte até concluir. Para iniciar a criação de um esquemático associado ao projecto, poderá ir directamente ao menu Project New Source e de seguida seleccionar a opção Schematic: Figura 7: Janela New Source. Deverá agora atribuir um nome ao ficheiro do esquemático a criar, de preferência diferente do nome do projecto. A aplicação ECS Schematic Editor irá então abrir Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 7

8 3.1 Aplicação ECS Schematic Editor: Figura 8: Aplicação ECS Schematic Editor. Antes de começar a desenhar o esquemático deverá configurar previamente o tamanho da folha. Para circuitos grandes, recomenda-se o tamanho máximo (A0). Para o obter terá de pressionar o botão direito do rato dentro da área de desenho, escolher a opção Object Properties e seleccionar o campo Size (a amarelo) dentro da janela Schematic Properties, conforme mostra a figura seguinte: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 8

9 Figura 9: Janela Schematic Properties. Ainda assim, para o caso do esquemático não caber na folha de trabalho é sempre possível poder adicionar ao projecto mais do que uma folha (botão New ). Para as folhas de grandes dimensões recomenda-se a utilização das opções de Zoom (botões ). Para o desenho dos componentes deverá escolher a opção Symbols ( ), a categoria (menu Categories) e o nome do símbolo propriamente dito (menu Symbols), pressionando de seguida o botão Add Symbol ( ) de forma a inserir o componente desejado na folha. A opção Orientation permitirá definir a orientação do componente antes deste ser colocado. Neste exemplo, escolheuse a inserção de uma porta AND de duas entradas (símbolo AND2) pertencente à categoria Logic Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 9

10 Figura 10: Inserção de uma AND de duas entradas. Para poder utilizar os terminais VCC e GND deverá escolher a categoria General: Figura 11: Inserção do símbolo VCC Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 10

11 Para desenhar um fio eléctrico de ligação deverá seleccionar o botão Add Wire ( ). De seguida marque com o botão esquerdo do rato os pontos de origem e de destino. Os caminhos dos fios de ligação são então automaticamente gerados aproveitando os espaços disponíveis na folha do esquemático, nunca atravessando componentes ou ligações. Figura 12: Ligação entre VCC e a AND2. Falta definir ainda as entradas e as saídas do circuito. Para tal recorre-se à inserção de I/O Markers. Para inserir uma entrada ou saída é necessário então seleccionar o botão Add I/O Marker ( ), escolher as opções Add an input marker, Output ou Bidirectional e deslocá-lo até ao extremo do fio de ligação desejado. O nome respectivo pode ser editado (na zona a amarelo), seleccionando o I/O Marker após a sua inserção. Com um duplo clique no botão esquerdo do rato em cima do I/O Marker, irá abrir-se a janela Object Properties Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 11

12 Figura 13: Colocação e edição da entrada E1. Da mesma forma poderá inserir-se a saída S1: Figura 14: Colocação e edição da saída S Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 12

13 Recomenda-se vivamente ir gravando o esquemático (botão ) e o projecto completo (botão ). O ECS permite a edição de um símbolo já colocado na folha, bastando para tal pressionar duas vezes com o botão esquerdo do rato em cima do componente desejado. A janela Object Properties irá então abrir: Figura 15: Edição do símbolo AND2. É também possível introduzir texto num esquemático. Para tal recorre-se ao botão Add Text ( ) e aos campos Text Value e Text Size Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 13

14 Figura 16: Inserção de texto no esquemático. O ECS permite a criação de um novo símbolo que não exista à partida em biblioteca. Para o criar, deverá ir ao menu Tools e seleccionar a opção Symbol Wizard (Tools Symbol Wizard). Poderá seleccionar entre as opções Using Schematic ou Specify Manually, bem como escolher a forma que pretende para o símbolo: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 14

15 Figura 17a: Janela Symbol Wizard. Na janela seguinte (figura 17b), se tiver sido escolhida a opção Specify Manually poderá configurar manualmente o nome do símbolo e o nome dos pinos de I/0 (neste exemplo não se atribuiu um nome específico ao símbolo). Figura 17b: Configuração no Symbol Wizard Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 15

16 Finalmente irá ser criado o novo símbolo: Figura 18: Novo símbolo criado. Na janela anterior é ainda possível alterar a disposição em desenho das entradas e saídas do novo símbolo criado. A chamada deste novo símbolo será feita na folha do esquemático, escolhendo-o na sua categoria e na directoria onde se encontra gravado Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 16

17 Figura 19: Chamada do símbolo. Para verificar a coerência ao nível eléctrico num esquemático criado é necessário executar um verificador do mesmo, designado por DRC (Design Rules Check), a que corresponde o botão Check Schematic ( ). Considere-se a título de exemplo que o esquemático era alterado propositadamente, de forma a desligar o terminal VCC da AND2. Pressionando o botão Check Schematic iria ser então visualizada a janela de erros, que indicaria de imediato um erro na respectiva ligação, ficando esta assinalada a amarelo: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 17

18 Figura 20: Erro de DRC. Desta forma torna-se mais fácil a identificação das causas prováveis dos erros de um projecto, uma vez que são directamente assinaladas no esquemático as zonas onde se encontram os problemas. Utilizando a opção Edit Find Nets (What) é também possível procurar a ligação (Net) cuja designação virá assinalada na janela Schematic Check Errors como estando associada a um erro. Por vezes, mesmo após a correcção de todos os erros, a opção Check Schematic poderá manter ainda o seu histórico, pelo que uma possível solução será gravar e fechar o esquemático, voltando a abri-lo novamente Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 18

19 Figura 21: Schematic Check sem erros. Para introduzir um rodapé no esquemático de forma a identificá-lo com o seu nome, a sua data de criação e versão respectiva, recorre-se ao símbolo tblock da categoria General. Figura 22: Inserção do tblock Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 19

20 Para a elaboração de um relatório será sempre possível seleccionar tudo (Edit Select All), e efectuar de seguida o Copy&Paste para um editor de texto (ex: Word). Como por vezes os esquemáticos podem ser complexos e de grandes dimensões, existe frequentemente a necessidade de os ter de imprimir em folhas maiores do que o formato A4 (ex: A2 ou A3). Caso não se disponha de impressora para folhas A2 ou A3, pode-se sempre imprimir em várias folhas A4, bastando para tal seleccionar a opção Páginas por folha da janela Imprimir, do Word. Figura 23: Selecção de quatro páginas por folha no Word Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 20

21 A figura seguinte mostra novamente o Project Navigator, agora com o ECS já fechado, ou seja, com o esquemático já terminado. Figura 24: Project Navigator após o ECS fechado Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 21

22 3.2 Aplicação HDL Bencher: Depois do circuito estar correctamente desenhado é necessário testá-lo. Para tal recorre-se à aplicação ModelSim, tendo de ser criado previamente um ficheiro do tipo Test Bench Waveform (menu Project New Source): Figura 25a: Menu Project New Source. Seleccione então o campo Test Bench Waveform e escolha um nome para o respectivo ficheiro de teste. Na janela seguinte deverá escolher-se o ficheiro que contém o circuito a ser simulado (figura 25b): Figura 25b: Selecção do ficheiro que contém o circuito Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 22

23 De seguida irá ser aberta a aplicação HDL Bencher, através da janela Initialize Timing: Figura 26: Janela Initialize Timing do HDL Bencher. É possível utilizar um ou mais relógios externos na simulação (opções Single Clock ou Multiple Clocks), ou um relógio realizado "à mão", colocando internamente uma entrada a alternar entre 1 e 0 (opção Combinatorial Design). Na simulação costuma-se utilizar mais frequentemente a opção Combinatorial Design (clock interno). Escolha a escala de tempos apropriada (por exemplo µs) e os tempos Check outputs e Assign inputs (por exemplo 1µs e Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 23

24 100µs respectivamente). Irá ser aberta de seguida a janela correspondente ao ficheiro de teste criado, com a descrição temporal de todas as entradas e saídas do circuito desenhado anteriormente. Pressionando nas respectivas ondas, é possível alterar o estado lógico das entradas/saídas, de acordo com o pretendido pelo utilizador de modo a efectuar o teste ao circuito digital. Figura 27: Criação da onda temporal para a entrada E1. Grave de seguida este ficheiro, utilizando o botão Save Waveform ( ). Terá de fechar agora esta aplicação (HDL Bencher) para obter os resultados da simulação, ou seja, obter o correcto diagrama temporal da saída em função do da entrada já anteriormente preenchido Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 24

25 De volta ao Project Navigator, seleccione dentro da janela Sources in Project o ficheiro de teste já criado (ex: ondas_teste.twb). Note-se que ao mesmo projecto poderão ser acrescentados vários esquemáticos, e a cada esquemático diversos ficheiros de teste. Figura 28: Janela Project Navigator já com um esquemático e um ficheiro de teste associados ao projecto. Para simular o projecto terá ainda de escolher previamente dentro da janela Processes for Source o campo ModelSim Simulator Simulate Behaviour Verilog Model, e ao pressionar aí com o botão direito do rato, deverá seleccionar de seguida a opção Properties e escolher no campo Simulate Run Time o valor: -all Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 25

26 Figura 29: Opção Properties no campo Simulate Behaviour Verilog Model. Para finalmente obter o resultado da simulação temporal do circuito digital (do projecto), deverá seleccionar dentro da janela Processes for Source a opção Generate Expected Simulation Results. O HDL Bencher irá abrir novamente, mostrando agora os resultados da simulação, ou seja, o diagrama temporal da saída digital S1 em função da entrada digital E1 descrita no tempo. Como neste exemplo o circuito é apenas uma porta AND com uma das suas entradas ligada a VCC, a sua saída (S1) terá sempre o mesmo valor lógico da entrada restante (E1) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 26

27 Figura 30: Diagrama temporal da saída S1 em função da entrada E1. Para a elaboração do relatório convém ir copiando o resultado da simulação obtida. Para tal basta seleccionar com o rato em cima das colunas (Time) correspondentes aos intervalos de tempo pretendidos, e fazer Copy&Paste para um editor de texto (como por exemplo, a aplicação Word) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 27

28 Figura 31: Copia da zona temporal (a escuro). É ainda possível introduzir comentários no HDL Bencher, pressionando o botão esquerdo do rato na área disponível, de modo a criar a janela de comentário respectiva. A simulação poderá ainda ser efectuada através da verificação do estado lógico (temporal) esperado das suas saídas. Deste modo, introduzindo previamente valores lógicos ao diagrama temporal da saída digital S1 poderá à posteriori verificar-se se os seus valores correspondem efectivamente aos esperados. Pode-se constatar neste exemplo que no intervalo de tempo assinalado a vermelho, a simulação obtida para a saída S1 não correspondeu ao inicialmente previsto Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 28

29 Figura 32: Saída digital S1 com erros num intervalo de tempo (assinalado a vermelho). Assumindo que a simulação já está correcta, ou seja, que o diagrama temporal de S1 contém os valores esperados, deve-se de seguida fechar o HDL Bencher e retornar ao Project Navigator. É importante referir também que o desenho de qualquer esquemático pode ser bastante simplificado, recorrendo à utilização de barramentos para os sinais digitais. O exemplo seguinte mostra a sua utilização (num novo circuito), contendo um descodificador de 2 entradas e 4 saídas (Decoder d2_4e), admitindo agora que fora previamente criado um novo projecto e um novo esquemático associado. Comece por desenhar na aplicação ECS um fio de ligação normal, seleccionando para tal o botão Add Wire ( ). Atribua a este um I/O Marker de entrada, designado por BUS_IN(1:0), que indicará que o barramento de entrada tem dois sinais (o BUS_IN(0) e o BUS_IN(1)). Note-se que o fio de ligação irá automaticamente ficar a cheio, indicando a existência de um barramento (bus) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 29

30 Figura 33: Desenho do Barramento BUS_IN(1:0). Utilize de seguida o botão Add Bus Tap ( ), devidamente orientado, para ligar os sinais pretendidos ao barramento BUS_IN(1:0), de acordo com a figura seguinte: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 30

31 Figura 34: Introdução de Bus Taps. Ligue agora as entradas A0 e A1 do descodificador ao barramento de entrada BUS_IN(1:0) através dos Bus Taps, identificando as ligações por, respectivamente, BUS_IN(0) e BUS_IN(1), conforme mostram as figuras seguintes: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 31

32 Figura 35: Identificação da ligação BUS_IN(0). Figura 36: Identificação da ligação BUS_IN(1) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 32

33 Repita o mesmo procedimento para o barramento de saída de quatro sinais BUS_OUT (3:0), que irá ligar às quatro saídas D0, D1, D2 e D3 do descodificador. Figura 37: Identificação da ligação BUS_OUT(3:0). Verifique se o circuito completo não contém erros e grave-o de seguida Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 33

34 Figura 38: Esquemático completo do descodificador. Após criada uma nova onda Test Bench, irão aparecer automaticamente na janela de simulação os dois barramentos do esquemático. Crie uma sequência de teste para o barramento de entrada (por exemplo 00, 01, 10 e 11), grave o ficheiro, e feche o HDL Bencher. Figura 39: Diagrama temporal do barramento de entrada Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 34

35 A figura seguinte mostra o resultado final da simulação obtida através da opção Generate Expected Simulation Results: Figura 40: Diagramas temporais finais dos barramentos. A sequência resultante do barramento de saída (assinalada a amarelo) é: 1, 2, 4 e 8, o que comprova que o descodificador funciona correctamente! Retorne agora ao projecto anterior referente ao circuito da porta AND de duas entradas, e ao Project Navigator: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 35

36 Figura 41: Project Navigator após a Simulação final do projecto, com a opção User Constraints Assign Package Pins. 3.3 Aplicação PACE: A etapa seguinte consiste na atribuição dos pinos físicos do dispositivo de lógica programável aos I/O Markers (entradas e saídas), referentes ao circuito do projecto da AND de duas entradas. Na janela Sources in Project seleccione com o botão esquerdo do rato o ficheiro esquemático, de extensão.sch. De seguida, na janela Processes for Source seleccione agora a opção User Constraints Assign Package Pins (Xilinx PACE). Fazendo duplo clique neste último campo irá fazer abrir a aplicação Xilinx PACE (Pinout and Area Constraints Editor). Seleccione a opção Sim para que o Project Navigator crie automaticamente um ficheiro de extensão.ucf (Implementation Constraint File) ao projecto criado Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 36

37 Na janela Sources in Project verifique agora se esse ficheiro de extensão *.ucf se encontra já seleccionado, ou seleccione-o com o botão esquerdo do rato. Feche de seguida a janela Xilinx PACE, já que esta ainda não apresentará o dispositivo de lógica programável associado. Na janela Processes for Source mantenha a selecção na opção User Constraints Assign Package Pins (Xilinx PACE). Fazendo (de novo) duplo clique neste último campo, irá abrir novamente a aplicação Xilinx PACE, sendo agora já apresentado o diagrama dos pinos do dispositivo de lógica programável utilizado. Na aplicação PACE, no lado esquerdo da janela irão aparecer os sinais de entrada e de saída do circuito, e do lado direito irão surgir os pinos do dispositivo de lógica programável do projecto. Figura 42: Aplicação Xilinx PACE Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 37

38 A atribuição dos pinos realiza-se arrastando com o rato os sinais E1 e S1 da janela da esquerda para os pinos desejados na janela da direita. As entradas e saídas poderão ser atribuídas a qualquer pino cuja designação seja I/O, exceptuando os pinos de alimentação, de massa (GND) e de programação JTAG. A atribuição dos pinos fará todo o sentido quando posteriormente se colocar o dispositivo de lógica programável numa placa de circuito impresso (PCB), ou se previamente se pretender colocá-lo numa BreadBoard com uma orientação e/ou localização específicas. Se esta atribuição não for efectuada o Project Navigator atribuirá automaticamente os pinos quando for executada a compilação final. Figura 43: Entrada E1 atribuída ao pino 4 e saída S1 atribuída ao pino 6. Na aplicação PACE deverá gravar agora o ficheiro de extensão.ucf respectivo. Fechando a seguir esta aplicação irá retornar-se de volta ao Project Navigator Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 38

39 Se pretender traduzir o esquemático para uma linguagem de descrição de hardware (VHDL ou Verilog), bastará seleccionar no projecto (Sources in Project) o respectivo ficheiro de extensão.sch utilizado, e dentro da janela Processes for Source seleccionar a opção Design Entry Utilities View Verilog Functional Model ou View VHDL Functional Model. Figura 44: Ficheiro do modelo de descrição funcional (em Verilog). O ficheiro respectivo poderá então ser gravado. A utilização deste ficheiro irá revelar-se muito importante, principalmente se se quiser utilizar uma versão mais recente do ISE Foundation. Note-se que um esquemático desenhado numa versão ISE mais recente poderá não abrir correctamente na versão 6.1i. As linguagens VHDL e Verilog são universais, pelo que outras aplicações (que não o ISE da Xilinx) as podem à partida interpretar. No entanto o mesmo já não acontece com o ficheiro do esquemático respectivo Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 39

40 Para programar o dispositivo (PLD) de lógica programável utilizado é necessário gerar o ficheiro de programação respectivo. Para tal deverá ainda antes ser compilado todo projecto feito até aqui, pressionando duas vezes na opção Generate Programming File, que se encontra dentro da janela Processes for Source. Note-se que o ficheiro de extensão.sch deverá estar previamente seleccionado na janela Sources in Project. Figura 45: Compilação final do projecto. A janela inferior indica-nos se existiram erros e alertas de compilação. A existirem, devem ser verificadas as suas causas prováveis e modificar-se novamente o esquemático. Pressionando o botão direito do rato na opção Generate Programming File poderá recompilar novamente todo o projecto (opção Rerun All). Quando a compilação for obtida com sucesso será automaticamente gerado um ficheiro JEDEC de programação, de extensão *.jed Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 40

41 Convém visualizar também os resultados gerados no Fitter e no Timing Report, para o estudo posterior das características do dispositivo (PLD) utilizado. 3.4 Aplicação impact: Se a compilação final for efectuada com sucesso, ou seja, com a apresentação da mensagem Done: completed successfully e todos os campos assinalados com na janela Processes for Source, deverá gravar o projecto completo e seleccionar dentro da opção Generate Programming file ( ) a opção Configure Device (impact). Note-se que esta última operação só deverá ser realizada após ligar o dispositivo de lógica programável escolhido ao programador Xilinx JTAG, depois de ligar este último à porta paralela do PC e de o alimentar correctamente. Figura 46: Opção Configure Device (impact) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 41

42 Será então chamada a aplicação impact, conforme mostra a figura seguinte: Figura 47: Aplicação impact. Para programar o dispositivo, pressione com o botão direito do rato em cima do componente que surge na figura. Escolha de seguida a opção Program. Figura 48: Programação da CPLD Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 42

43 Seleccione agora os campos Erase Before Programming e Verify (para limpar previamente o dispositivo e efectuar a verificação após a programação). Figura 49: Campos de escolha da programação da CPLD. Após a programação do dispositivo ficar concluída com sucesso (o que normalmente demora cerca de 10 a 15 segundos para uma CPLD Xilinx XC9536), deverá fechar a aplicação impact, desligar a alimentação do programador JTAG, e retirar de seguida o dispositivo de lógica programável. Nota: Não esquecer de gravar o projecto completo (botão ) antes de finalmente fechar o Project Navigator da aplicação ISE Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 43

44 4. BIBLIOGRAFIA E LINKS: ISE User Guide, Xilinx. ISE Tuturial, Xilinx. ISE In-Depth Tuturial, Xilinx. ModelSim EE/SE Tuturial, Model Technology Incorporated. ModelSim User s Manual, Model Technology Incorporated. Introdução à aplicação ISE Foundation 4.2i da Xilinx, Rui Antunes. details.jsp?key=do-sim-vhdl-eth oduct=mxe+ii tails.jsp?sglobalnavpick=products&ssecondarynavpick=des ign+tools&key=ds-ise-webpack Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 44

45 5. ANEXOS (Adaptadores DIL40/PLCC44 e DIL84/PLCC84) Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 45

46 Adaptador DIL40/PLCC44 para a CPLD XC9536 da Xilinx: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 46

47 Adaptador DIL84/PLCC84 para a CPLD XC95108 da Xilinx: Escola Superior de Tecnologia de Setúbal do Instituto Politécnico de Setúbal 47

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

BMLABEL MANUAL DO UTILIZADOR

BMLABEL MANUAL DO UTILIZADOR MANUAL DO UTILIZADOR Ao executar o programa abre-se uma janela com a lista das empresas registadas e 2 menus activos: Menu Seleccionar para seleccionar a empresa. Menu Sair para sair da aplicação. Para

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs SISEL Sistemas Electromecânicos Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs GRIS Group of Robotics and Intelligent Systems Homepage: http://www.dee.isep.ipp.pt/~gris

Leia mais

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62 ANEXO I O Ambiente de desenvolvimento MpLab IDE v6.62 Como ferramenta de desenvolvimento do código dos microcontroladores utilizou-se o MpLab IDE v6.62 da Microchip. A linguagem de programação utilizada

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

TimeNET. REPORTU Digital-Time. Manual de Utilizador do Software. Gestão de Assiduidade e Controlo de Acessos Página 1 de 35

TimeNET. REPORTU Digital-Time. Manual de Utilizador do Software. Gestão de Assiduidade e Controlo de Acessos Página 1 de 35 Página 1 de 35 Manual de Utilizador do Software TimeNET Gestão de Assiduidade e Controlo de Acessos Página 2 de 35 CONSIDERAÇÕES INICIAIS: - O Software TimeNET foi desenvolvido com as mais recentes tecnologias

Leia mais

1 - Ambiente de desenvolvimento

1 - Ambiente de desenvolvimento Neste documento começa-se por apresentar o ambiente de desenvolvimento integrado do Visual Basic (menu bar, toolbars, toolbox, project explorer window, properties window, form designer e code editor window).

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Manual Prático. Elisabete Aguiar

Manual Prático. Elisabete Aguiar Manual Prático Elisabete Aguiar Índice A BARRA DE OPÇÕES... 4 Abrir um documento existente... 6 Guardar um documento Word... 7 Ambiente de Trabalho no Microsoft Word 2007... 9 Configuração de Páginas...

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007 Projecto de Sistemas Digitais I Lic. em Engenharia de Sistemas e Informática 2 o Ano 2006/2007 António J. Esteves Dep. Informática, Universidade do Minho Braga, Portugal 16 de Abril de 2007 Projecto Objectivos

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

Copyright Restinfor, Lda

Copyright Restinfor, Lda WinCAFÉ A Registadora Inteligente Configuração Inicial Copyright 1999-2000 Restinfor, Lda Índice Pag. 1.Configuração Inicial... 3 1.1. Tabelas... 3 1.2. Controlo de Acessos... 4 1.3. Definições Gerais...

Leia mais

MicroElectrónica. Trabalho de Laboratório. Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice

MicroElectrónica. Trabalho de Laboratório. Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice MicroElectrónica Ano Lectivo 2004/2005 Trabalho de Laboratório Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice Marcelino Santos, F. Gonçalves, J. P. Teixeira Fevereiro, 2005 1 Introdução Pretende-se

Leia mais

FORMAÇÃO DE MICROSOFT EXCEL 2010

FORMAÇÃO DE MICROSOFT EXCEL 2010 FORMAÇÃO DE MICROSOFT EXCEL 2010 Mário de Almeida Pedro, M. Sc. Novembro 2013 Bemvindo Identificação da UFCD UFCD nº 0756 Folha de Cálculo, com a duração de 50 horas Microsoft Excel 2010 Objectivos: No

Leia mais

MENU INOPLAN OPÇÕES DE CONFIGURAÇÃO, ALARMES E RELATÓRIOS. Zona de Mapa ou de Configuração dos parâmetros (período, veículos, etc.) do Relatório.

MENU INOPLAN OPÇÕES DE CONFIGURAÇÃO, ALARMES E RELATÓRIOS. Zona de Mapa ou de Configuração dos parâmetros (período, veículos, etc.) do Relatório. MENU INOPLAN. Com o Inoplan da Inosat poderá planear mais eficazmente a atribuição de tarefas / serviços para as suas equipas de distribuição / assistência. Indique os clientes a visitar e o InoPlan calculará

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

FORMAÇÃO DE MICROSOFT WORD Mário de Almeida Pedro, M. Sc. Janeiro 2014

FORMAÇÃO DE MICROSOFT WORD Mário de Almeida Pedro, M. Sc. Janeiro 2014 FORMAÇÃO DE MICROSOFT WORD 2007 Mário de Almeida Pedro, M. Sc. Janeiro 2014 Bemvindo Identificação da UFCD UFCD nº 0754 Processador de Texto, com a duração de 50 horas Microsoft Word 2007 Para criar um

Leia mais

Programação 2017/2018 2º Semestre

Programação 2017/2018 2º Semestre Mestrado em Engenharia Electrotécnica e de Computadores Programação 2017/2018 2º Semestre Aula de Problemas 1 Os alunos deverão executar os passos aqui descritos no seu computador pessoal ou no laboratório.

Leia mais

Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica

Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica PAL (Programmable Array Logic) Trabalho realizado por: Jorge Viegas n.º 9800408 PAL Programmable Array Logic Uma PAL é um dispositivo lógico

Leia mais

Iniciação à Informática

Iniciação à Informática Iniciação à Informática Dr. Manuel Monteiro Conteúdo 5 Folha de Cálculo Conceitos básicos Os programas denominados de folhas de cálculo permitem aos seus utilizadores elaborar documentos com cálculos,

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0

ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0 ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0 Manual de Instalação Rápida DN-70650 Conteúdo Conteúdo da Embalagem... Página 1 Instalação do Cartão de Rede... Página 2 Ligar o Ponto de Acesso Sem Fios...

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Electrónica II. 4º Trabalho de Laboratório. Projecto de CIs digitais (Dsch2 e Microwind2)

Electrónica II. 4º Trabalho de Laboratório. Projecto de CIs digitais (Dsch2 e Microwind2) Electrónica II Ano Lectivo 2006/2007 4º Trabalho de Laboratório Projecto de CIs digitais (Dsch2 e Microwind2) Marcelino Santos Maio, 2007 1 Introdução Pretende-se com este trabalho proporcionar um primeiro

Leia mais

Criar um recurso para QIM com ActivInspire - Studio

Criar um recurso para QIM com ActivInspire - Studio Como criar um recurso com o Software ActivInspire Studio Depois de abrir o Software ActivInspire deve criar uma nova página, ficheiro Novo e de seguida escolher o formato desejado. Nota: De forma a este

Leia mais

Manual de Instruções NSS Editor MANUAL DE INSTRUÇÕES. Editor de bases de dados NSS EDITOR

Manual de Instruções NSS Editor MANUAL DE INSTRUÇÕES. Editor de bases de dados NSS EDITOR MANUAL DE INSTRUÇÕES Editor de bases de dados NSS EDITOR Manual de Instruções Editor de bases de dados NSS Editor 1. Introdução Este manual aplica-se ao software de edição de bases de dados para indicadores

Leia mais

Domine o Word Professor: Rafael Henriques

Domine o Word Professor: Rafael Henriques Domine o Word 2010 Professor: 1 08-03-2016 Rafael Henriques Sumário Introdução aos Processadores de texto; Microsoft Word 2010 O ambiente de trabalho O Friso Os Tabuladores do Word 2010; Realização e correcção

Leia mais

imax Concepção Centrada no Utilizador 2007/2008 Manual do Utilizador

imax Concepção Centrada no Utilizador 2007/2008 Manual do Utilizador Concepção Centrada no Utilizador 2007/2008 imax Manual do Utilizador Grupo 04: Pedro João Grácio Rodrigues 48952 Raquel Garcez Coutinho Costa 57745 Renato Filipe Robles Sousa 52368 Índice Índice... 2 Introdução...

Leia mais

Instituto Superior Técnico

Instituto Superior Técnico Introdução à Arquitectura de Computadores Instituto Superior Técnico Lisboa, Setembro de 2013 O Simulador Lógico Logisim 1 Introdução... 2 2 Exemplo de projecto... 3 2.1 Especificação do sistema... 3 2.2

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

Manual de Formação. Elaborado por: Carina Castro

Manual de Formação. Elaborado por: Carina Castro Secretaria Regional de Educação e Cultura Direcção Regional de Educação Especial e Reabilitação Direcção de Serviços de Formação e Adaptações Tecnológicas Manual de Formação Elaborado por: Rua dos Ilhéus,

Leia mais

OpenOffice.org. tutorial apresentação

OpenOffice.org. tutorial apresentação tutorial apresentação Criar uma nova apresentação Assistente Apresentação Inserir diapositivos Seleccionar diapositivos Formatar uma página Formatar diapositivos Vistas do espaço de trabalho Iniciar apresentação

Leia mais

BIBLIOTECA ANACOM MANUAL DO UTILIZADOR

BIBLIOTECA ANACOM MANUAL DO UTILIZADOR BIBLIOTECA ANACOM MANUAL DO UTILIZADOR ÍNDICE BIBLIOTECA ANACOM - Manual do Utilizador... 2 Página de entrada... 3 Barra de menus da Biblioteca ANACOM... 3 Tipos de pesquisa... 6 Pesquisa simples... 6

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Recursos B-on CURRENT CONTENTS. Saber usar. Novembro,2008

Recursos B-on CURRENT CONTENTS. Saber usar. Novembro,2008 Recursos B-on CURRENT CONTENTS Saber usar Novembro,2008 Bases de referência O que são? As bases de referência permitem-nos pesquisar as referências de um documento e desta forma obter a sua localização.

Leia mais

PAGAMENTO A FORNECEDORES E ORDENADOS

PAGAMENTO A FORNECEDORES E ORDENADOS PAGAMENTO A FORNECEDORES E ORDENADOS 1. PROCEDIMENTOS INICIAIS Opção Utilitários/Selecção de Empresas O primeiro passo a seguir é parametrizar quais as Empresas que irão utilizar o aplicativo. Note-se

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

Manual do Cibernauta

Manual do Cibernauta Faculdade de Engenharia da Universidade do Porto Licenciatura Informática e Computação Laboratório de Informática Avançada Manual do Cibernauta Versão 1.1 João Braga http://www.fe.up.pt/~ei97027/lia.html

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

3. Usar a árvore de certificados do Windows para validar certificados no Acrobat Reader

3. Usar a árvore de certificados do Windows para validar certificados no Acrobat Reader Pontos de Orientação 1. Email da digicert para aprovar e instalar o certificado Paulo Cesar Reis Crispim Assinado de forma digital por Paulo Cesar Reis Crispim Dados: 2016.11.16 14:50:46 Z 2. Usar o certificado

Leia mais

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011 Manual Avançado Funcionamento com cartões Sistema Pré-Pago v2011 1. Índice 2. INTRODUÇÃO... 2 3. INICIAR O ZSRest FrontOffice... 3 1 4. Iniciar cartões pré-pagos... 4 b) Adicionar Cartões Pré-Pagoc) Atribuir

Leia mais

Biblioteca do Conhecimento Online b-on

Biblioteca do Conhecimento Online b-on Biblioteca do Conhecimento Online b-on Agenda Histórico da b-on Motivação Visão, missão e objectivos Estrutura administrativa Conteúdos Portal b-on Pesquisa rápida Metapesquisa Recursos Periódicos Área

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Controlador da impressora

Controlador da impressora 2-699-693-71 (1) Controlador da impressora (para Mac OS X) Manual de instalação Este manual descreve a instalação e utilização dos controladores de impressora para o Mac OS X. Antes de utilizar este Software

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

MANUAL PARA ACTUALIZAÇÃO DA VERSÃO DE FIRMWARE

MANUAL PARA ACTUALIZAÇÃO DA VERSÃO DE FIRMWARE MANUAL PARA ACTUALIZAÇÃO DA VERSÃO DE FIRMWARE ( ANDROID ) Este manual destina-se a clientes e revendedores de Tablets Alexis, modelos RX5, RX5BT, RX5BT16 e RX5BT16SL. Os passos descritos abaixo destinam-se

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

O AMBIENTE DE TRABALHO... 2 CRIAR, ABRIR E GUARDAR DOCUMENTOS... 6 EDIÇÃO DE DOCUMENTOS... 7 FORMATAÇÃO DE TEXTO Manual de Word INTRODUÇÃO...

O AMBIENTE DE TRABALHO... 2 CRIAR, ABRIR E GUARDAR DOCUMENTOS... 6 EDIÇÃO DE DOCUMENTOS... 7 FORMATAÇÃO DE TEXTO Manual de Word INTRODUÇÃO... INTRODUÇÃO... 2 Noção de processador de texto... 2 O AMBIENTE DE TRABALHO... 2 Área de trabalho... 3 Barra de menus... 3 Barras de ferramentas... 4 Réguas... 5 Botões de visualização... 5 Barra de estados...

Leia mais

Modulo 2 Gestão de Base

Modulo 2 Gestão de Base Didáxis - Escola Cooperativa de Vale S. Cosme Modulo 2 Gestão de Base de Dados Aula Nº 55 / 56 09-01-2008 Sumário: Programa de gestão de bases de dados. Introdução ao estudo das tabelas. 2 Gestão de Base

Leia mais

HS-SGICM. Manual do Utilizador HS-SGICM - MANUAL DE FORMAÇÃO DOCUMENTO N.º CLIENTE ÁREA PEDIDOS DE SERVIÇOS DATA: FORMAÇÃO VERSÃO: 2.

HS-SGICM. Manual do Utilizador HS-SGICM - MANUAL DE FORMAÇÃO DOCUMENTO N.º CLIENTE ÁREA PEDIDOS DE SERVIÇOS DATA: FORMAÇÃO VERSÃO: 2. HS-SGICM - MANUAL DE FORMAÇÃO DOCUMENTO N.º CLIENTE ÁREA ELABORADO POR PEDIDOS DE SERVIÇOS FORMAÇÃO GLINTT -HS DATA: 2010-06-11 VERSÃO: 2.0 HS-SGICM Manual do Utilizador Confidencial, para uso no âmbito

Leia mais

Guia da Aula Prática H.323. Página 1 de 5

Guia da Aula Prática H.323. Página 1 de 5 Guia da Aula Prática H.323 A ficha seguinte deve ser executada a partir de grupos de 3 PCs, sendo que por PC deverá estar um grupo de alunos. Dois PCs serão os clientes e o terceiro será o Gatekeeper.

Leia mais

Ferramentas Web, Web 2.0 e Software Livre em EVT

Ferramentas Web, Web 2.0 e Software Livre em EVT E s t u d o s o b r e a i n t e g r a ç ã o d e f e r r a m e n t a s d i g i t a i s n o c u r r í c u l o d a d i s c i p l i n a d e E d u c a ç ã o V i s u a l e T e c n o l ó g i c a Mash on Spore

Leia mais

Pasta de Dados, Companhias e Trabalhos

Pasta de Dados, Companhias e Trabalhos Pasta de Dados, Companhias e Trabalhos ÍNDICE INTRODUÇÃO 2 O GESTOR DE CAMINHOS DE DADOS (DATA FOLDER SELECTOR) 2 O GESTOR DE COMPANHIAS (COMPANY MANAGER) 3 O GESTOR DE TRABALHOS (JOB MANAGER) 4 CÓPIA

Leia mais

Apresentador Gráfico - Microsoft PowerPoint. Apresentação de Diapositivos - 90 min. 1. Criação de transições entre diapositivos

Apresentador Gráfico - Microsoft PowerPoint. Apresentação de Diapositivos - 90 min. 1. Criação de transições entre diapositivos Apresentador Gráfico - Microsoft PowerPoint Apresentação de Diapositivos - 90 min Ficha orientada nº5 Objectivos: Criação de transições entre diapositivos Aplicação de efeitos de animação Definição de

Leia mais

Centro de informática e Sistemas do Instituto Politécnico de Tomar

Centro de informática e Sistemas do Instituto Politécnico de Tomar MUITO IMPORTANTE Todo o processo de aprovar e instalar o certificado pessoal deve realizar-se numa máquina controlada pelo requerente e a que outros não tenham acesso. Caso a máquina usada para a aprovação

Leia mais

Ferramentas Web, Web 2.0 e Software Livre em EVT

Ferramentas Web, Web 2.0 e Software Livre em EVT E s t u d o s o b r e a i n t e g r a ç ã o d e f e r r a m e n t a s d i g i t a i s n o c u r r í c u l o d a d i s c i p l i n a d e E d u c a ç ã o V i s u a l e T e c n o l ó g i c a Mash on Holidays

Leia mais

Criar e Apresentar Apresentações

Criar e Apresentar Apresentações Criar e Apresentar Apresentações Manuel Cabral Reis UTAD Departamento de Engenharias Curso de Ciências da Comunicação Disciplina de Introdução à Informática 1 Transição dos Slides Podem ser usadas várias

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

Criação de componentes no Proteus

Criação de componentes no Proteus Criação de componentes no Proteus O programa Isis permite criar componentes diretamente na tela do editor de esquemas. Neste exemplo, trataremos de criar o DS1023 da Maxim. Esta é a configuração dos pinos

Leia mais

TIC 1 Processamento de Texto UMA INTRODUÇÃO AO PROCESSADOR DE TEXTO MS WORD [André Coutinho]

TIC 1 Processamento de Texto UMA INTRODUÇÃO AO PROCESSADOR DE TEXTO MS WORD [André Coutinho] TIC 1 Processamento de Texto UMA INTRODUÇÃO AO PROCESSADOR DE TEXTO MS WORD 2010 [André Coutinho] O QUE É UM PROCESSADOR DE TEXTO? É uma ferramenta; permite produzir documentos diversos, utilizando diferentes

Leia mais

Tarefa Orientada 7 Consultas de selecção

Tarefa Orientada 7 Consultas de selecção Tarefa Orientada 7 Consultas de selecção Objectivos: Consultas de selecção O Sistema de Gestão de Bases de Dados MS Access Consultas As consultas (queries) permitem interrogar a base de dados, de acordo

Leia mais

Manual do 7-Zip Versão 1

Manual do 7-Zip Versão 1 Manual do 7-Zip Versão 1 O 7-Zip é um software livre que permite efectuar a compressão ou descompressão de ficheiros de forma fácil e intuitiva. Apesar do seu nome dar a entender que apenas trabalha com

Leia mais

O Ambiente de Trabalho Candy

O Ambiente de Trabalho Candy O Ambiente de Trabalho Candy ÍNDICE INTRODUÇÃO 2 OS ELEMENTOS PRINCIPAIS DO AMBIENTE DE TRABALHO 2 1 Barra de Título 2 2 Separador de Aplicação (Application Tabs) e informação acerca da versão do programa

Leia mais

GESPOS WINDOWS. Manual do Utilizador GESTÃO DE CORES E TAMANHOS

GESPOS WINDOWS. Manual do Utilizador GESTÃO DE CORES E TAMANHOS GESPOS WINDOWS Manual do Utilizador GESTÃO DE CORES E TAMANHOS 1 A Gestão de cores e tamanhos do Gespos Windows é seguramente a gestão mais eficaz para qualquer tipo de loja que comercialize produtos no

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

MOODLE - NÍVEL II. Ferramentas de trabalho colaborativo Base de dados MANUAL DO FORMADOR / MOODLE 1.8.4

MOODLE - NÍVEL II. Ferramentas de trabalho colaborativo Base de dados MANUAL DO FORMADOR / MOODLE 1.8.4 MOODLE - NÍVEL II MANUAL DO FORMADOR / MOODLE 1.8.4 Ferramentas de trabalho colaborativo Base de dados Esta ferramenta permite ao professor e/ou alunos construírem e pesquisarem uma base de dados sobre

Leia mais

File: Este menu permite começar novas tabelas de verdade, abrir ficheiros com tabelas, gravar tabelas e imprimir tabelas.

File: Este menu permite começar novas tabelas de verdade, abrir ficheiros com tabelas, gravar tabelas e imprimir tabelas. Boole é um programa que acompanha o livro Language, Proof and Logic 1 (LPL) e que possibilita a construção de tabelas de verdade de modo muito simples. Estas notas, baseadas no respectivo manual de instruções

Leia mais

Instalação de mapas com Ferramenta de instalação de mapas TomTom WORK

Instalação de mapas com Ferramenta de instalação de mapas TomTom WORK Instalação de mapas com Ferramenta de instalação de mapas TomTom WORK A Ferramenta da instalação de mapas TomTom WORK (Ferramenta de mapas) permite-lhe actualizar os seus mapas, instalar novos mapas ou

Leia mais

Base de Dados de Gestão de Anilhagem e Recapturas Manual do Utilizador

Base de Dados de Gestão de Anilhagem e Recapturas Manual do Utilizador 4 - ANILHAGEM QUADRO DE INSERÇÃO DE DADOS DE ANILHAGEM Ao seleccionar o botão Anilhagem, obtêm-se acesso ao quadro de inserção de dados de anilhagem, cujo aspecto pode ser visto nas figuras 22 e 22a, uma

Leia mais

Pelos serviços da Biblioteca Elisabete Ribeiro 2012 Página 1

Pelos serviços da Biblioteca Elisabete Ribeiro 2012 Página 1 Elisabete Ribeiro (elisabete@fep.up.pt) 2012 Página 1 ÍNDICE ÍNDICE... 2 BREVE INTRODUÇÃO... 3 O QUE É O ENDNOTE... 3 A ORGANIZAÇÃO DO ENDNOTE... 3 INSTALAÇÃO DO ENDNOTE... 3 EXEMPLOS DE RECURSOS DE INFORMAÇÃO/

Leia mais

TUTORIAL CRIAÇÃO DE ENCAPSULAMENTO

TUTORIAL CRIAÇÃO DE ENCAPSULAMENTO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA DEPARTAMENTO ACADÊMICO DE ELETRÔNICA ENGENHARIA ELETRÔNICA Projeto Integrador I Iniciação Científica TUTORIAL CRIAÇÃO DE ENCAPSULAMENTO

Leia mais

MANUAL Formação: TIC (Word) Maio/2011

MANUAL Formação: TIC (Word) Maio/2011 Formatar colunas (1, 2 ou 3 ) Limites à volta do texto: Pág. 1/21 Capitulares Maiúsculas / Minúsculas Seleccionar a opção pretendida: (não esquecer que tem de estar já seleccionado o texto ao qual se pretende

Leia mais

Versão 2.0. Manual do Utilizador Para Windows Vista, 7 e 8

Versão 2.0. Manual do Utilizador Para Windows Vista, 7 e 8 Versão 2.0 Manual do Utilizador Para Windows Vista, 7 e 8 GestãoAG, v.2.0 2 Índice Introdução... 3 Contactos... 3 Requisitos... 3 Funcionamento do Programa... 4 Aba de Início e Navegabilidade... 4 Consultar

Leia mais

Endnote - Introdução. O que é o Endnote?

Endnote - Introdução. O que é o Endnote? Endnote - Introdução Universidade do Porto - Augusto Ernesto Ribeiro augusto.ribeiro@reit.up.pt O que é o Endnote? O Endnote é um software que permite guardar, organizar e usar referências bibliográficas

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Como criar uma história

Como criar uma história O da Microsoft permite, a partir de um conjunto de imagens, fazer um vídeo, adicionando som (músicas ou narração por voz recorrendo ao microfone) e movimento (transições e efeitos). São estes movimentos

Leia mais