Lógica Reconfigurável

Tamanho: px
Começar a partir da página:

Download "Lógica Reconfigurável"

Transcrição

1 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br paginapessoal.utfpr.edu.br/amauriassef 1

2 Software ModelSim-Altera Software oficial da Intel para simulação de códigos de descrição de hardware (HDL) Quartus II *Netlist Arquivo VHDL Arquivo Test bench ModelSim Entrada de código: VHDL, Verilog ou AHDL Blocos BDF Geração de diagrama de forma de onda Verificação de funcionalidade do projeto *Netlist: Descrição do circuito no formato de texto 2

3 Criação de novo projeto no Quartus II para simulação no ModelSim 3

4 4

5 5

6 6

7 Habilitação da simulação no software ModelSim Menu Assignments -> Settings 7

8 8

9 Selecionar mais a configuração da Netlist 9

10 Comando More EDA Netlist Writer Settings Habilitar a geração de netlist para simulação Escolher um diretório para a biblioteca de simulação Pressionar OK 10

11 Comando More NativeLink Settings Verificar se o diretório para a biblioteca de simulação está correto Pressionar OK 11

12 Pressionar OK 12

13 Exemplo: Projetar um contador de 32 endereços para leitura de uma senoide sintetizada em formado Q15 13

14 Criar o arquivo de inicialização de memória *.mif Utilizar os dados da senoide de referência para índice de modulação 0,9 (aula 6) Tabela_senoide = [16384; 19260; 22026; 24575; 26810; 28644; 30006; 30845; 31129; 30845; 30006; 28644; 26810; 24575; 22026; 19260; 16384; 13507; 10741; 8192; 5957; 4123; 2761; 1922; 1638; 1922; 2761; 4123; 5957; 8192; 10741; 13507] 14

15 Após a compilação é gerado o arquivo netlist de saída: *.vho Arquivo de entrada para simulação no ModelSim 15

16 Criação do arquivo testbench para simulação do projeto do Quartus II no ModelSim Selecionar o comando para criar o arquivo test bench Processing -> Start -> Start Test Bench Template Writer Será gerado um arquivo com o mesmo nome e extensão vht 16

17 17

18 Após a compilação é gerado o arquivo *.vht além do *.vho Arquivo de entrada para simulação no ModelSim: vht e vho 18

19 Abrir, editar e salvar o arquivo vht para incluir o sinal de entrada clock e aclr em VHDL: init : PROCESS -- variable declarations BEGIN -- code that executes only once aclr <= '1','0' after 10 ns; WAIT; END PROCESS init; always : PROCESS -- optional sensitivity list -- ( ) -- variable declarations BEGIN -- code executes for every event on sensitivity list clock <= '0','1' after 10 ns; wait for 20 ns; END PROCESS always; END teste_modelsim_arch; Não é preciso recompilar o projeto no Quartus II 19

20 Criação de projeto de simulação no ModelSim-Altera Iniciar o software ModelSim Altera Caso seja aberta a janela de boas-vindas, pressionar o botão Jumpstart 20

21 Criação de projeto de simulação no ModelSim-Altera Menus de atalho Bibliotecas Área de comandos de texto 21

22 Criar um novo projeto no diretório correto ou mudar de diretório para criar o novo projeto: File -> Change Directory Mudança de diretório Utilizar o mesmo diretório de criação do projeto no Quartus II 22

23 File -> New -> Project Mesmo diretório do projeto do Quartus II Nome da biblioteca do projeto. Geralmente work Na sequência é aberta uma janela para inclusão do arquivo netlist 23

24 Incluir o arquivo netlist pré-existente de saída do Quartus II Adicionar o arquivo ao projeto Adicionar os dois arquivos ao projeto: vho o vht 24

25 Arquivo netlist incluso com status indefinido, pois o projeto não foi compilado 25

26 Para compilar o projeto, pressionar o botão direto do mouse sobre o arquivo e selecionar a opção: Compile -> Compile Select ou Compile All Deve ser gerada uma mensagem de sucesso na compilação 26

27 Tela de sucesso na compilação 27

28 Simulação do projeto Simulate -> Start Simulation 28

29 Selecionar somente o arquivo de entrada _vhd_tst na pasta work e pressionar OK Serão abertas novas janelas com os objetos, processos, etc. Na janela Object selecionar as entradas e saída que serão adicionadas na simulação 29

30 30

31 Pressionar a tecla shift para selecionar vários ports Add to -> Wave -> Selected Signals 31

32 Tela de simulação com os sinais incluídos É possível rearranjar os sinais para a melhor posição. Obs: Normalmente saída para baixo. 32

33 Pressionar o ícone run para simular o projeto com passo de 100 ps 33

34 Ou digitar na área de transcrição o comando: run 10 us A partir desta tela é possível alterar as propriedades de cada sinal, como por exemplo: cor, altura, formato, etc. 34

35 Exemplo: Selecionar os sinais contador e senoide_ref com radix unsigned e formato analógico (automático) Também é possível exportar a imagem em formato bmp. 35

36 Dica: Utilizar o comando Save Format para salvar uma macro as configurações de simulação em um arquivo com extensão.do Para executar o arquivo macro.do acessar o menu: Tools-> Tcl-> Execute Macro -> *.do 36

37 Referências: Notas de aula do professor Volnei A. Pedroni PEDRONI, Volnei A. Circuit design and simulation with VHDL. MIT press, HAMBLEN, James O.; HALL, Tyson S.; FURMAN, Michael D. Rapid prototyping of digital systems: SOPC edition. Springer Science & Business Media, TOCCI, Ronald J.; WIDMER, Neal S.; MOSS, Gregory L. Sistemas digitais: princípios e aplicações. Prentice Hall,

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

PHILAE - SISTEMA DE RELACIONAMENTO - MANUAL DE INSTALAÇÃO

PHILAE - SISTEMA DE RELACIONAMENTO - MANUAL DE INSTALAÇÃO Manual Instalação - 00 Próxima página 1. Selecione "Instalar", e aguarde o início do Programa de Instalação; o Sistema perguntará se você deseja "Run" (Executar ou Abrir), ou "Save" (Salvar), selecione

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias 1 IDES E PROGRAMAÇÃO Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias {claudio,macdias}@icmc.usp.br 2 Agenda Conceitos Instalação e Configuração Compilação e Execução de Código IDEs Exemplo

Leia mais

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados

PSI-3451 Projeto de CI Lógicos Integrados PSI-3451 Projeto de CI Lógicos Integrados Sejam bem-vindos ao laboratório do PSI. Esta primeira prática de VHDL pretende familiarizar o aluno com o software para simulação ModelSim. Através dele serão

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Etapa 2 Criando e executando uma simulação no Arena 14.7

Etapa 2 Criando e executando uma simulação no Arena 14.7 Etapa 2 Criando e executando uma simulação no Arena 14.7 Agora que o Arena está instalado em seu computador, vamos executar e reconhecer o programa antes de utilizá-lo. Para isso, construiremos um exemplo

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Introdução à Engenharia ENG1000

Introdução à Engenharia ENG1000 Introdução à Engenharia ENG1000 Aula 04 Introdução ao Visual Studio 2010 Prof. Augusto Baffa 1. Execute o MS-Visual Studio 2010. Experimente o caminho: Start All Programs Microsoft

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Function Block Manual Software CICON

Function Block Manual Software CICON Software CICON 1 ÍNDICE GERAL 1. ESPECIFICAÇÕES...1 1.1 ESPECIFICAÇÃO DO BLOCO DE FUNÇÃO...3 1.2 CPU DISPONÍVEL COM BLOCO DE FUNÇÃO (TIPO FB: NORMAL / EXTENSÃO)...4 1.3 BLOCO DE FUNÇÃO OPERANDO TIPO L...5

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Guia prático do. Passo a passo

Guia prático do. Passo a passo Guia prático do BrOffice.org IMPRESS Passo a passo Licenciamento de Uso desta Documentação Este material foi elaborado por Mônica Figueira Câmara, baseado no arquivo de Ajuda do BROffice.org e segue os

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Aula 01. Apresentação da Disciplina e Ementa. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu.

Aula 01. Apresentação da Disciplina e Ementa. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu. Aula 01 Apresentação da Disciplina e Ementa. Prof. Otávio Gomes otavio.gomes@ifmg.edu.br https://sites.google.com/a/ifmg.edu.br/otavio-gomes/ 1 Ementa: Circuitos Lógicos Combinacionais. Flip-flops e dispositivos

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200 Escola de Engenharia de São Carlos - Engenharia Elétrica Disciplina: SEL 0430 Laboratório de Automação Profs. Dennis Brandão e Adriana 1º Semestre/2016 Roteiro do 3º Experimento: Programação do CLP Siemens

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Introdução ao IDE Netbeans (Programação Java)

Introdução ao IDE Netbeans (Programação Java) Universidade Federal do ABC Disciplina: Processamento da Informação (BC-05045) Assunto: Introdução ao IDE Netbeans Introdução ao IDE Netbeans (Programação Java) Conteúdo 1. Introdução... 1 1.1. Programas

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

MIDISUL (48) (48) CEP CNPJ:

MIDISUL (48) (48) CEP CNPJ: Conteúdo Introdução... 3 Tópicos... 3 Abrangência... 3 1. Acessar o Consulti... 4 2. Selecionar os Módulos do Consulti... 5 3. Selecionar as Empresas no Consulti... 5 4. Executar os Programas do Consulti...

Leia mais

Executar uma macro clicando em um botão da Barra de Ferramentas de Acesso Rápido

Executar uma macro clicando em um botão da Barra de Ferramentas de Acesso Rápido Página 1 de 8 Excel > Macros Executar uma macro Mostrar tudo Há várias maneiras de executar uma macro no Microsoft Excel. Macro é uma ação ou um conjunto de ações que você pode usar para automatizar tarefas.

Leia mais

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO I Configuração do Hardware no Kit. 1-Abrir o Quartus II; 2-Fechar a janela "Getting Started with Quartus II, e abrir a janela do programador. Na barra de menus

Leia mais

Tutorial de Utilização do Software- Mini CLP FIT

Tutorial de Utilização do Software- Mini CLP FIT Tutorial de Utilização do Software- Mini CLP FIT tsfit-04 DOWNLOAD E UPLOAD DO PROJETO INTRODUÇÃO Este documento descreve a utilização do Mini Controlador FIT e seus recursos de software e hardware, com

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Programação 2010/2011 MEEC

Programação 2010/2011 MEEC Programação 2010/2011 MEEC Code::Blocks Criação de projectos O Code::Blocks é um IDE (ambiente de desenvolvimemnto integrado) que permite a escrita do codigo, compilação, correcção de erros e depuração

Leia mais

Simulação de programas assembly para o HCS12 no CodeWarrior

Simulação de programas assembly para o HCS12 no CodeWarrior UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT044 Laboratório de Microcontroladores Simulação de programas assembly para o HCS12 no CodeWarrior

Leia mais

Tutorial: Configuração do CLP Citrino

Tutorial: Configuração do CLP Citrino Tutorial: Configuração do CLP Citrino Abrir o software Citrino Tools Clicar em: Criar projeto, a tela abaixo é a tela de configuração do CLP. Cada aba refere-se a um tipo de configuração. Clicar em: Arquivo

Leia mais

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal

Leia mais

Partes Principais da Janela 3/26

Partes Principais da Janela 3/26 EDITOR DE TEXTO Write O Writer é o responsável pela edição de texto dos aplicativos do LibreOffice. Ele possui as características habituais de um processador de texto: inserir e editar texto, verificação

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Procedimento para Configuração de Internet em modo Bridge

Procedimento para Configuração de Internet em modo Bridge 1 Procedimento para Configuração de Internet em modo Bridge 1- Para realizar o procedimento de configuração em modo Bridge o computador deve estar conectado via cabo Ethernet em uma das portas LAN do DSL-2640T

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Utilizando o Audacity

Utilizando o Audacity Utilizando o Audacity Imaginemos a seguinte: possuímos uma música em MP3, mas no começo ou no fim dela há um espaço em branco que não toca nada. Para solucionar este problema existem os editores de arquivos

Leia mais

PROCEDIMENTO OPERACIONAL PADRÃO Fazendo Escalas e Medidas no Sistema de Captura de Imagens Q Capture Pró

PROCEDIMENTO OPERACIONAL PADRÃO Fazendo Escalas e Medidas no Sistema de Captura de Imagens Q Capture Pró 01 de 08 PROCEDIMENTO 1. Abrir o software QCAPPRO51. O ícone está localizado na área de trabalho. 2. Clique no 1º ícone (abrir pasta) para abrir uma imagem feita neste programa de captura. 3. Com a imagem

Leia mais

Ferramenta 1 -NetBeans 8.0

Ferramenta 1 -NetBeans 8.0 Nome do laboratório: Laboratório de Programação Ferramenta 1 -NetBeans 8.0 Elaborado por: Osmar de Oliveira Braz Junior Descrição NetBeans é um ambiente de desenvolvimento integrado (IDE Integrated Development

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

MANUAL DE INSTALAÇÃO

MANUAL DE INSTALAÇÃO MANUAL DE INSTALAÇÃO CADPREV ENTE-LOCAL MINISTÉRIO DA PREVIDÊNCIA SOCIAL Regimes de Previdência no Serviço Público ÍNDICE Para a PRIMEIRA INSTALAÇÃO do CADPREV ENTE-LOCAL é necessário seguir as seguintes

Leia mais

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo 2018 São Paulo Material Didático sobre Robocode Conhecendo o Robô 1 Criando nosso primeiro Robô 1.1 Ambiente de Batalha O ambiente de batalha é o local onde será realizada a guerra entre os Robôs. Abaixo

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Mapa Mental. Aplicabilidade de Mapa Mental

Mapa Mental. Aplicabilidade de Mapa Mental Mapa Mental Mapa mental, é uma técnica desenvolvida pelo inglês Tony Buzan a fim de gerir informações através de determinado tipo de diagrama, facilitando assim a compreensão e solução de problemas, auxiliando

Leia mais

Formulário Principal no NetBeans

Formulário Principal no NetBeans Formulário Principal no NetBeans Iniciaremos montando o Menu principal, com os comandos para acesso aos demais formulários. Montagem do atalho para os itens do menu as propriedades são ICONS e MNEMONICS

Leia mais

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens Tutorial: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal V11 2 Clique

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Projeto e simulação utilizando esquemáticos em FPGA

Leia mais

Ambiente de Software EDA (Electronic Design Automation)

Ambiente de Software EDA (Electronic Design Automation) Ambiente de Software EDA (Electronic Design Automation) Este capítulo apresenta uma nova metodologia de projeto de circuitos digitais. Há uma introdução ao software Quartus II com desenvolvimento de alguns

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

CENTRO UNIVERSITÁRIO DA FEI MR Simulação de Processos em Eng. de Materiais. Aula 7. Simulink

CENTRO UNIVERSITÁRIO DA FEI MR Simulação de Processos em Eng. de Materiais. Aula 7. Simulink EE CENTRO UNIVERSITÁRIO DA FEI MR070 - Simulação de Processos em Eng. de Materiais Aula 7 Simulink 1 I. Introdução Simulink Básico O SIMULINK é uma extensão gráfica do MATLAB para a simulação dinâmica

Leia mais

Laboratório 01 NetBeans

Laboratório 01 NetBeans Universidade Federal de Uberlândia Faculdade de Computação GGI030 Programação Orientada a Objetos 2o. Semestre de 2017 Prof. Renato Pimentel Atividade individual. Laboratório 01 NetBeans 1 Introdução Os

Leia mais

Instalação de softwares e drivers USB para controlador CP-WS11/4DO4DI

Instalação de softwares e drivers USB para controlador CP-WS11/4DO4DI Comércio e Manutenção de Produtos Eletrônicos proxsys@proxsys.com.br Instalação de softwares e drivers USB para controlador CP-WS11/4DO4DI PROXSYS 1- Instalação de de softwares para o controlador CP-WS11/4DO4DI-OEM

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

Instalação do SayerDispenser

Instalação do SayerDispenser Instalação do SayerDispenser 1 - Para baixar o setup do SayerDispenser, favor acessar o link abaixo: http://matriz.sayerlack.com.br/sayerdispenser - Clicar no link Instalação do SayerDispenser - Realizar

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro UNIVERSIDADE DE SÃO PAULO Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação - SSC Sistemas Digitais 2 o Semestre Projeto CPU Data da apresentação: 26/27 de outubro

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Para criar um projeto no ambiente eclipse utiliza-se o menu File, New e Project como ilustra a Figura 1.

Para criar um projeto no ambiente eclipse utiliza-se o menu File, New e Project como ilustra a Figura 1. Relatório do GPES UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ Relatório referente ao desenvolvimento de um simples cadastro de funcionários utilizando Struts. Realizado do dia 04 de março de 2009 a 16 de

Leia mais

MÓDULO II INTERFACE GRÁFICA DELPHI

MÓDULO II INTERFACE GRÁFICA DELPHI MÓDULO II INTERFACE GRÁFICA DELPHI A partir de agora iniciaremos o desenvolvimento de software utilizando a interface gráfica do dephi, já conhecemos a linguagem pascal, no caso do Delphi o Object Pascal

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento

Leia mais

GUIA PARA CONFIGURAÇÃO DE CORREIO ELETRÔNICO

GUIA PARA CONFIGURAÇÃO DE CORREIO ELETRÔNICO GUIA PARA CONFIGURAÇÃO DE CORREIO ELETRÔNICO Conteúdo MICROSOFT OUTLOOK 2007 e 2010... 3 Adicionando uma NOVA CONTA usando o Assistente... 3 Adicionando uma NOVA CONTA usando o menu Ferramentas... 8 MICROSOFT

Leia mais

TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU

TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU Wellyson Vieira Dias 1. Introdução: 1.1. Componentes do ambiente de desenvolvimento: - IDE Android Studio com SDK. - API Level 19

Leia mais

Núcleo de Computação Eletrônica Universidade Federal do Rio de Janeiro. Windows

Núcleo de Computação Eletrônica Universidade Federal do Rio de Janeiro. Windows Windows Conceitos Básicos As principais ações são: Clique Pressionar e soltar o botão esquerdo do mouse sem move-lo Clique com o botão direito Duplo clique Pressionar e soltar o botão esquerdo do mouse

Leia mais