Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Tamanho: px
Começar a partir da página:

Download "Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação"

Transcrição

1 Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com o ModelSim. guntzel@inf.ufsc.br Colaboração: Vinícius Livramento (Est. Docência 2010/1) vini@inf.ufsc.br

2 Introdução à Linguagem VHDL Exemplo: um Full Adder a b LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY somador1bit IS PORT (cin, a, b : IN STD_LOGIC; s, cout : OUT STD_LOGIC); END somador1bit ; cout cin ARCHITECTURE comportamento OF somador1bit IS BEGIN s <= a XOR b XOR cin; cout <= (a AND b) OR (a AND cin) OR (b AND cin); END comportamento; s Sistemas Digitais - semestre 2011/1 Slide 2P.2

3 Fluxo de Projeto para FPGAs Descrição do SD em HDL Análise da sintaxe Síntese lógica Compilação com o Quartus II Definição de parâmetros Definição dos estímulos Simulação com o ModelSim Mapeamento para o FPGA simulação Roteamento Geração dos arquivos de relatórios Análise do resultado Não Ok? Sim fim Slide 2P.3

4 Experimento 1: descrição/compilação e simulação de um SC Preparação dos Estímulos para a Simulação Solução trivial (ingênua): Transformar a tabela-verdade em formas de onda cin a b cout s cin a b cout s Observações: 1. Preencher a mão as waveforms (formas de onda) esperadas para as saídas para confrontá-la com o resultado da simulação. 2. T deve ser maior que longest tpd reportado pelo Quartus II. Slide 2P.4

5 Experimento 1: descrição/compilação e simulação de um SC A verificação será feita por meio de simulação no nível lógico com atrasos com a ferramenta ModelSim da Mentor Graphics, versão Altera. (O ModelSim chama este tipo de simulação de gate level simulation.) As próximas transparências mostram como configurar o ModelSim-Altera e como realizar a simulação. Slide 2P.5

6 Definir o caminho do Modelsim-Altera Diretório Padrão: C:\altera\91\modelsim_ase\win32aloem Obs: é possível que o caminho para o ModelSim-Altera já esteja definido. Slide 2P.6

7 Abrir a ferramenta Modelsim-Altera Slide 2P.7

8 Iniciar simulação Gate-Level Selecionar o somador1bit e ir para a aba SDF Slide 2P.8

9 Iniciar simulação Gate-Level Selecionar o somador1bit_vhd.sdo Clicar OK para iniciar a simulação Slide 2P.9

10 Alterar opções de simulação Slide 2P.10

11 Adicionar os sinais às waveforms Slide 2P.11

12 Alterar a unidade de tempo das waveforms Slide 2P.12

13 Alterar o zoom range das waveforms Slide 2P.13

14 Arquivo de estímulos de entrada cin a b #força a entrada cin para 0 no tempo 0 ns #força cin para 1 no tempo 80 ns, repete a cada 160 ns force /cin 0 0 ns, 1 80 ns -r 160 ns force /a 0 0 ns, 1 40 ns -r 80 ns force /b 0 0 ns, 1 20 ns -r 40 ns Slide 2P.14

15 Criar novo arquivo de estímulos de entrada Slide 2P.15

16 Salvar arquivo de estímulos de entrada Nome do arquivo: stimulus.do Slide 2P.16

17 Executar arquivo de estímulos de entrada Slide 2P.17

18 Executar 100ns de simulação Slide 2P.18

19 Executar mais 100ns de simulação Slide 2P.19

20 Analisar os resultados da simulação Capture a imagem das waveforms. Slide 2P.20

21 Reiniciar a simulação Slide 2P.21

22 Executar arquivo de estímulos de entrada Slide 2P.22

23 Executar 100ns de simulação Slide 2P.23

24 Analisar os resultados da simulação Slide 2P.24

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 1-P Introdução ao Fluxo de Projeto com ferramentas

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 7-P escrição em VHL, síntese e simulação de registradores

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 6-P escrição em VHL, síntese e simulação de latches, flip-flops

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 56 Suplemento à Aula -T Arquiteturas

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados

PSI-3451 Projeto de CI Lógicos Integrados PSI-3451 Projeto de CI Lógicos Integrados Sejam bem-vindos ao laboratório do PSI. Esta primeira prática de VHDL pretende familiarizar o aluno com o software para simulação ModelSim. Através dele serão

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 10-P Descrição em VHDL, sítese e simulação de um sistema digital

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 13-P Descrição em VHDL, sítese e simulação de um sistema digital

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 12: Título: Sumário: Linguagens de Descrição e Simulação de Circuitos Digitais (apoio ao laboratório) Linguagens

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

TUTORIAL PARA SIMULAÇÃO

TUTORIAL PARA SIMULAÇÃO TUTORIAL PARA SIMULAÇÃO Fernando Moraes Atualizado em 29/outubro/2012 O presente laboratório tem por objetivos: 1. Ilustrar o comportamento dos somadores vistos nas aulas teóricas 2. Introduzir as ferramentas

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

a b s cout Tabela 1: tabela verdade do meio somador

a b s cout Tabela 1: tabela verdade do meio somador APO7-29/04/10 Turma A Lisardo Sallaberry Kist 159839 César Garcia Daudt 180164 Introdução Nesta aula os alunos foram introduzidos ao programa de MaxPlus II. Devia-se executar a montagem de um meio-somador

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 3

PSI-3451 Projeto de CI Lógicos Integrados. Aula 3 PSI-3451 Projeto de CI Lógicos Integrados Aula 3 Esta segunda prática de VHDL pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, além de praticar aspectos da codificação

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 P R O F. S Í L V I O F E R N A N D E S CRONOGRAMA DO CURSO ATIVIDADE

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Matheus D Eça Torquato de Melo 13/04/2010 13 de abr.

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Lógica Programável INE 5348 Aula 4 Revisão de latches, flip-flops

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais 1 Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Leia mais

DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL

DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL Slides by: Pedro Tomás SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES Outline 2 Linguagens de descrição de Hardware [LINK] Introdução

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Microprocessadores. Introdução ao Prof. Henrique

Microprocessadores. Introdução ao Prof. Henrique Microprocessadores Introdução ao 8051 Prof. Henrique Roteiro Introdução Aplicações Critérios de escolha Registradores Especiais Definições Microcontroladores x Lógica Fixa Referências... Introdução Um

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 4 Funções Lógicas - Circuitos Integrados Professor Dr. Michael Klug 1 2 ALGEBRA BOOLEANA George Boole (1854): Uma investigação das Leis do Pensamento modo como tomamos decisões

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores VHDL - VHSIC Hardware Description Language Arquitetura de Computadores Leonardo Augusto Casillo Referências bibliográficas PELLERIN, David. TAYLOR, Douglas. VHDL Made Easy. Prentice-Hall PTR. 1997. SKANHILL,

Leia mais