Projeto Moderno de Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Projeto Moderno de Sistemas Digitais"

Transcrição

1 Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2

2 Tecnologias de Lógica Digital Projeto convencional Projeto moderno Projeto VLSI Fonte: Rapid Prototyping of Digital Systems - SoPC Edition, Tecnologias de Lógica Digital Fonte: Rapid Prototyping of Digital Systems - SoPC Edition,

3 Projeto Convencional Captura Esquemática Uso de blocos padrão para projeto digital. Baseado em portas lógicas e outros componentes MSI e SSI. Bastante usado até início da década de 90. Muitos softwares EDA (ElectronicDesign Automation) ainda oferecem suporte (ex. Altera Quartus II). 5 Captura Esquemática Projeto Convencional Crítica: uso de blocos padrão pode ser um inconveniente. Exemplo: projeto de contador de 5 ou 12 bits Os blocos padrão para contadores TTL são de 4 ou 8 bits. Solução: subutilização ou cascateamento de contadores. LEGO 6

4 Projeto Convencional Captura Esquemática Projeto com componentes SSI e MSI (CIs e placas de circuito impresso) Fonte: Digital Design, 2006, Frank Vahid. 7 Projeto Convencional Captura Esquemática e Complexidade Problemas para projeto mais complexos. Suporte a projeto modular e hierárquico. Módulos Bus 8

5 Projeto Convencional Captura Esquemática e ASICs Uso de captura esquemática em projeto de ASICs (application-specificintegratedcircuits) foi amplo até a década de 80. Com o aumento do tamanho (número de portas lógicas equivalente) e complexidade, a captura esquemática se tornou mais um obstáculo do que uma ferramenta para auxílio ao projeto de CIs. Atualmente projetos de ASICsusam HDLs(hardware descriptionlanguages-linguagens de descrição de hardware). Fonte: VHDL Coding and Logic Synthesis with Synopsys, Projeto com HDLse FPGAs Histórico Mudança na forma de projetar circuitos Baseado em ferramentas EDA FPGA 10

6 Projeto com HDLse FPGAs Histórico Cerca de 1977: primeiras linguagens de descrição de hardware ISP (Instruction Set Processor) - Carnegie Mellon University KARL - University of Kaiserslautern, Alemanha. Uso inicial na simulação de circuitos Outros: 1983: ABEL 1985: Verilog 1987: VHDL VHDL: Proposta do DoD para especificação de projetos de hardware. Uso para simulação lógica das propostas. Posteriormente usado para síntese de circuitos. 11 Projeto com HDLse FPGAs Mudança na forma de projetar circuitos Com o aumento na complexidade de circuitos digitais, não é mais possível ter um único projetista com a visão global do projeto. Desenvolvimento organizado em grupos de projeto: Divisão em módulos distintos; Grupos de projetistas; Uso de ferramentas de projeto; Teste e integração de módulos a partir de especificações. Uso de linguagens específicas: HDL (hardware description language): p.ex: VHDL e Verilog; SDL (system description language): p.ex: SystemC. 12

7 Projeto com HDLse FPGAs Baseado em ferramentas EDA Suporte de ferramentas de projeto: Síntese de circuitos (p.ex. biblioteca de módulos, padrões de projeto) Simulação (verificação da lógica, restrições de tempo) Exemplos: Altera Quartus II Xilinx ISE Design Suite ModelSim da Mentor Graphics Empresas de EDA (fonte: Wikipedia): $3.85 billion- Synopsys $2.80 billion- Cadence $1.49 billion- Mentor Graphics $506 million- Magma Design Automation billion- Zuken Inc. 13 Projeto com HDLse FPGAs Algumas considerações: Evolução nos custos Fonte: The Simple Art of SoCDesign

8 Projeto com HDLse FPGAs O aumento nos custos de projeto de chipsmostram que a questão predominante se refere a escrita, teste e depuração de código. Fonte: The Simple Art of SoCDesign Projeto com HDLse FPGAs HARDWARE = SOFTWARE? Fonte: The Simple Art of SoCDesign

9 Projeto com HDLse FPGAs Revoluções no projeto de hardware Fonte: The Simple Art of SoCDesign Projeto com HDLse FPGAs Fluxo de projeto com FPGAs Fonte: RTL Hardware Design Using VHDL. Pong P. Chu,

10 Projeto com HDLse FPGAs FPGA (Field-Programmable Gate Array) Dispositivo programável no campo (após a fabricação) Contém alguns milhões de transistores conectados para realizar funções lógicas. Especificado usando HDLs, como SoCs, ASIPs e ASICs. Primariamente contém apenas componentes digitais. Outros tipos: Mixed signal FPGAs Field-programmable analog array(fpaa) 19 Projeto com HDLse FPGAs FPGA (Field-Programmable Gate Array) Desenvolvido em 1985 pela Xilinx. Arquitetura interna: CLB(Combinational Logic Block): elementos lógicos. IOB(Input/Output Block): interfaceamento(buffers) com pinos de E/S. Switch Matrix (chaves de interconexões): estrutura reconfigurávelde conexões de blocos lógicos e de E/S. 20

11 Projeto com HDLse FPGAs Arquitetura interna de FPGAs Consiste de centenas ou milhares de CLBse Switch Matrices (SMs) em um arranjo regular dentro do circuito integrado. 21 Projeto com HDLse FPGAs Arquitetura interna de CLBs: Lógica programável com LUTs(look-up tables). Reconfigurabilidade baseada em SRAMs. Exemplo: função com duas variáveis =. +. configuração consiste no armazenamento de bits de configuração em memória específica. 22

12 Projeto com HDLse FPGAs Arquitetura interna de CLBs: Associação de LUTs(funções com mais variáveis). Fonte: Digital Design, 2006, Frank Vahid. 23 Projeto com HDLse FPGAs Arquitetura Interna de Switch Matrices: Configuração também baseada em SRAM. Fonte: Digital Design, 2006, Frank Vahid. 24

13 Projeto com HDLse FPGAs Arquitetura interna de CLBs: CLBs contém também flip-flops para lógica sequencial. Fonte: Digital Design, 2006, Frank Vahid. 25 Projeto com HDLse FPGAs Arquitetura interna de CLBs: Reconfiguração dos flip-flops para lógica sequencial. Fonte: Digital Design, 2006, Frank Vahid. 26

14 Projeto com HDLse FPGAs Programação de FPGAs Fonte: Digital Design, 2006, Frank Vahid. 27 Fluxo de Projeto Moderno Desenvolvimento de um projeto de um circuito integrado Etapas genéricas Baseado em HDLs 28

15 Fluxo de Projeto Moderno Fonte: Verilog Coding for Logic Synthesis, Fluxo de Projeto Moderno Passo 1: Especificação Fonte: Verilog Coding for Logic Synthesis,

16 Fluxo de Projeto Moderno Passo 1: Especificação Parte mais importante do fluxo de projeto Definição das características e funcionalidades Desenvolvimento da especificação em VHDL (descrição comportamental) 31 Fluxo de Projeto Moderno Passo 2: Codificação RTL Fonte: Verilog Coding for Logic Synthesis,

17 Fluxo de Projeto Moderno Passo 2: Codificação RTL Início da fase de projeto Desenvolvimento da arquitetura a partir da especificação RTL = register transfer level Descrição do circuito como uma combinação de blocos combinatórios e registradores Implementação do projeto com a codificação em código RTL sintetizável 33 Fluxo de Projeto Moderno Passo 3: Testbench e Simulação Fonte: Verilog Coding for Logic Synthesis,

18 Fluxo de Projeto Moderno Passo 3: Testbench e Simulação Simulação do código RTL para verificação (funcional) Testbench= ambiente para simulação, incorporando a geração de estímulos e verificação dos resultados (formas de onda ou asserções) Se for detectado um erro no código VHDL, o projeto deve ser debugado e resimulado. 35 Fluxo de Projeto Moderno Passo 4: Síntese Fonte: Verilog Coding for Logic Synthesis,

19 Fluxo de Projeto Moderno Passo 4: Síntese Síntese do projeto = conversão do código RTL em portas lógicas A lógica sintetizada deve ter as mesmas funcionalidades lógicas do código RTL Entradas: Código RTL Definição da tecnologia (p.ex. standard cells) Restrições de projeto (p.ex. timing, requisitos de carga) Otimizações possíveis: Área, consumo de energia, velocidade 37 Fluxo de Projeto Moderno Passo 5: Análise Temporal Pré-layout Fonte: Verilog Coding for Logic Synthesis,

20 Fluxo de Projeto Moderno Passo 5: Análise Temporal Pré-layout Análise estática de tempos do projeto sintetizado Pré-layout= sem informação da geometria e alocação no chip Exemplo de análise: Violação de tempos de setup(preparação) e hold(manutenção) Correção: inserção de blocos de atraso 39 Fluxo de Projeto Moderno Passo 6: APR (auto-place-route) Fonte: Verilog Coding for Logic Synthesis,

21 Fluxo de Projeto Moderno Passo 6: APR (auto-place-route) Portas lógicas do projeto sintetizado são alocadas e roteadas no circuito integrado. Caminho crítico (criticalpath): devem ser consideradas como de alta prioridade no processo de alocação e roteamento. Inclui também a síntese da árvore de clock. Deve minimizar problemas de clock skew. 41 Fluxo de Projeto Moderno Passo 7: Back annotation Fonte: Verilog Coding for Logic Synthesis,

22 Fluxo de Projeto Moderno Passo 7: Back annotation Extração de características elétricas (RC parasitics) a partir do layout do circuito integrado. O atraso de propagação de uma linha de interconexão pode ser calculado a partir destas características elétricas. Linhas longas = atrasos grandes de propagação Etapa importante que antecede a análise de tempos final do circuito integrado (layout final). Análises das etapas anteriores eram baseadas em estimativas não precisas de atrasos. 43 Fluxo de Projeto Moderno Passo 8: Análise Temporal Pós-layout Fonte: Verilog Coding for Logic Synthesis,

23 Fluxo de Projeto Moderno Passo 8: Análise Temporal Pós-layout Verificação de violações de tempo reais com a inclusão de informação do layout físico do circuito integrado. Informação de atrasos da rede de interconexão provenientes da etapa de back annotation é usada nesta análise. Se houver alguma violação de requisitos, deve-se redefinir a etapa de alocação e roteamento dos componentes (repetição dos passos até que os requisitos sejam alcançados). 45 Fluxo de Projeto Moderno Passo 9: Verificação Lógica Fonte: Verilog Coding for Logic Synthesis,

24 Fluxo de Projeto Moderno Passo 9: Verificação Lógica Última verificação do projeto para analisar o correto funcionamento do circuito Usa os mesmos arquivos de teste do passo 3 (testbenches). Resimulação considerando os tempos de atraso reais. 47 Codificação em HDLs Estilos de codificação em HDL: Comportamental Fluxo de dados Estrutural RTL 48

25 Codificação em HDLs Fonte: Verilog Coding for Logic Synthesis,

26 Codificação em HDLs Descrição Comportamental Visão caixa preta do sistema em desenvolvimento Uso no teste no nível de sistema algoritmo interno comandos sequenciais 51 52

27 Codificação em HDLs Descrição em Fluxo de Dados Descrição de como as entradas e saídas estão conectadas usando componentes primitivos (p.ex. portas),ou seja, como dos sinais (dados) fluem pelo circuito. Uso de comandos concorrentes. Exemplo: latch SR entity latch is port (s,r : in bit; q,nq : out bit); end latch; architecture dataflow of latch is begin q <= r nor nq; nq <= s nor q; end dataflow; 53 Descrição Estrutural Codificação em HDLs Estrutural = blocos conectados Definição dos componentes que compõem o sistema Detalhamento das conexões entre os componentes Exemplo: latch SR architecture structure of latch is component nor_gate port (a,b: in bit; c: out bit); end component; begin n1: nor_gate port map (r,nq,q); n2: nor_gate port map (s,q,nq); end structure; 54

28 Codificação em HDLs Descrição RTL (Register Transfer Level) Usado na síntese de circuitos Subconjunto da linguagem VHDL IEEE Std IEEE Standard for VHDL Register Transfer Level(RTL) Synthesis 55 Próximo Módulo Módulo II: Projeto de Circuitos Digitais com VHDL Alguns elementos de linguagem Descrição de circuitos combinatórios Introdução aos circuitos sequenciais (flip-flopse registradores) Pequenos exemplos Hands-on com Altera Quartus II Criação de projetos Compilação Simulação 56

29 Próximo Módulo Módulo II: Projeto de Circuitos Digitais com VHDL Preparação: Leitura da documentação da Altera 1. Quartus II Introduction using VHDL Designs ftp://ftp.altera.com/up/pub/altera_material/9.1/tutorials/vhdl/quartus_ii_introduction.pdf 2. Quartus II Simulation using VHDL Designs ftp://ftp.altera.com/up/pub/altera_material/9.1/tutorials/vhdl/quartus_ii_simulation.pdf 57

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação POR QUE APRENDER CONCEITOS

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

PROJETO DE SISTEMAS DIGITAIS

PROJETO DE SISTEMAS DIGITAIS PROJETO DE SISTEMAS DIGITAIS Edson Midorikawa emidorik@usp.br Departamento de Engenharia de Computação e Sistemas Digitais Escola Politécnica da Universidade de São Paulo Versão 1.1 (28/09/2011) Objetivo:

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Apresentação Disciplina Profa. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Prof. Marcelo Lubaszewski luba@eletro.ufrgs.br Definição de Sistemas Digitais

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

Sempre que ocorre uma grande

Sempre que ocorre uma grande Nova abordagem para o ensino de Eletrônica Digital A tecnologia digital cresce de forma exponencial, novos equipamentos e sistemas são especialmente projetados para trabalhar em Automação. O projeto e

Leia mais

Field Programmable Gate Array - FPGA / Minicurso teórico-prático em Computação Híbrida

Field Programmable Gate Array - FPGA / Minicurso teórico-prático em Computação Híbrida Field Programmable Gate Array - FPGA Minicurso teórico-prático em Computação Híbrida Vitor C. F. Gomes, Andrea S. Charão, Haroldo F. C. Velho Última atualização: 9 de junho de 2014 Instituto de Estudos

Leia mais

PROJETO DE SISTEMAS DIGITAIS

PROJETO DE SISTEMAS DIGITAIS PROJETO DE SISTEMAS DIGITAIS Edson Midorikawa emidorik@usp.br Departamento de Engenharia de Computação e Sistemas Digitais Escola Politécnica da Universidade de São Paulo Versão 1.3 (26/02/2012) Objetivo:

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais 1 - Introdução

Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais 1 - Introdução Pontifícia Universidade Católica do Rio Grande do Sul Instituto de Informática (II-PUCRS) Grupo de Apoio ao Projeto de Hardware - GAPH Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais - Introdução

Leia mais

13 CIRCUITOS DIGITAIS MOS

13 CIRCUITOS DIGITAIS MOS 13 CIRCUITOS DIGITAIS MOS 13.1. CONCEITOS BÁSICOS 13.1.1. Tecnologias de CIs Digitais e Famílias de Circuitos Lógicos Cada família é fabricada com uma mesma tecnologia, possui a mesma estrutura e oferece

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Field Programmable Gate Array - FPGA / Mini-curso de Computação Híbrida Reconfigurável

Field Programmable Gate Array - FPGA / Mini-curso de Computação Híbrida Reconfigurável Field Programmable Gate Array - FPGA Mini-curso de Computação Híbrida Reconfigurável Vitor C. F. Gomes, Andrea S. Charão, Haroldo F. C. Velho Última atualização: 19 de setembro de 2009 Universidade Federal

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica Digital para Instrumentação Objetivo

Leia mais

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h A grande ideia! O processo de concepção de um produto (chip) é muito demorado. Tempo; Esforço;

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Computadores dedicados

Computadores dedicados Computadores dedicados CPU processador de usos gerais produção em larga escala, baixo custo ASIP processador para uma área de aplicação desenvolvimento ASIC circuito dedicado para uma aplicação circuito

Leia mais

INF Técnicas Digitais para Computação. Introdução. Aula 1

INF Técnicas Digitais para Computação. Introdução. Aula 1 INF01 118 Técnicas Digitais para Computação Introdução Aula 1 Objetivo Projetar circuitos digitais: Combinacionais Sequências Testar a analisar circuitos digitais Funcionamento Área Desempenho (velocidade)

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

3 Realização e Caracterização do Módulo Transmissor

3 Realização e Caracterização do Módulo Transmissor 38 3 Realização e Caracterização do Módulo Transmissor Este capítulo tem como objetivo estudar e caracterizar o módulo a ser desenvolvido na transmissão através da introdução de uma ferramenta computacional

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

Funcionamento Área Desempenho (velocidade) Potência Aula 1. Circuitos Digitais. Circuitos Digitais

Funcionamento Área Desempenho (velocidade) Potência Aula 1. Circuitos Digitais. Circuitos Digitais INF01058 Objetivo Circuitos igitais Projetar circuitos digitais: Combinacionais Sequências Testar a analisar circuitos digitais Introdução Funcionamento Área esempenho (velocidade) Potência Aula 1 Mundo

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Projeto de Circuitos Aritméticos

Projeto de Circuitos Aritméticos Projeto de Circuitos Aritméticos E.T.M./2011 (adaptação) E.T.M./2012 (revisão) RESUMO Nesta experiência será desenvolvido um circuito aritmético usando a metodologia estruturada apresentada nas experiências

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 1880331A Carga horária: 120 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-3451- Projeto de Circuitos Lógicos Integrados Pequeno Manual da Família Cyclone II (2017) Conteúdo:

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

CMP 238 Projeto e Teste de um Sistema VLSI

CMP 238 Projeto e Teste de um Sistema VLSI CMP 238 Projeto e Teste de um Sistema VLSI Definição Sistemas Digitais e espaço de projeto Metodologia de Projeto Disciplina: CMP238 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2008 Sistemas Embarcados

Leia mais

Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis

Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis Álamo G. Silva, Leonardo A. Casillo Departamento de Ciências Exatas e Naturais Universidade Federal Rural do Semi- Árido

Leia mais

Prof. André Rabelo LÓGICA DIGITAL INTRODUÇÃO

Prof. André Rabelo LÓGICA DIGITAL INTRODUÇÃO Prof. André Rabelo LÓGICA DIGITAL INTRODUÇÃO INTRODUÇÃO Um sistema pode ser definido como sendo um conjunto de elementos que são interligados de alguma maneira para compor um todo e assim realizar funcionalidade

Leia mais

Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs

Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs Raphael Silva, Alexandre Guerra, André C. Prado, Celso Souza, Carlos A. Dutra, Sergio L. Zimath Resumo Este artigo apresenta uma forma inovadora

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

SISTEMAS DIGITAIS. Definição Sistemas Digitais e espaço de projeto. Metodologia de Projeto. Aula

SISTEMAS DIGITAIS. Definição Sistemas Digitais e espaço de projeto. Metodologia de Projeto. Aula SISTEMAS DIGITAIS Definição Sistemas Digitais e espaço de projeto Metodologia de Projeto Sistemas Embarcados -> > Sistemas Digitais Definição Estudo e comparação de projeto ASIC vs. FPGA Projeto de sistema

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 2030311A Carga horária: 80 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

Introdução ao módulo LabVIEW FPGA

Introdução ao módulo LabVIEW FPGA Introdução ao módulo LabVIEW FPGA Guilherme Yamamoto Engenheiro de Marketing de Produto Tecnologia FPGA Blocos de E/S Acesso direto às E/S digitais e analógicas. Interconexões programáveis Roteamento de

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna William Stallings Arquitetura e Organização de Computadores 8 a Edição Capítulo 5 Memória interna Os textos nestas caixas foram adicionados pelo Prof. Joubert slide 1 Tipos de memória de semicondutor slide

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Memória SRAM 64x8 bits

Memória SRAM 64x8 bits UNIVERSIDADE FEDERAL DO PARANÁ Leonardo H. Menezes André N. Makoski Memória SRAM 64x8 bits Artigo elaborado como parte da avaliação da Disciplina de Circuitos Integrados Digitais, ministrada pelos Profs.:

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Introdução à Computação: Máquinas Multiníveis

Introdução à Computação: Máquinas Multiníveis Introdução à Computação: Máquinas Multiníveis Beatriz F. M. Souza (bfmartins@inf.ufes.br) http://inf.ufes.br/~bfmartins/ Computer Science Department Federal University of Espírito Santo (Ufes), Vitória,

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Projeto e simulação utilizando esquemáticos em FPGA

Leia mais

Linguagens de descrição de hardware. Modelação de um circuito (digital)

Linguagens de descrição de hardware. Modelação de um circuito (digital) Linguagens de descrição de hardware Modelação de um circuito (digital) descrições comportamentais permitem nível elevado de abstracção metodologia top-down: ferramentas de síntese automática representação

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Desenvolvendo aplicações com LabVIEW FPGA. Rogério Rodrigues Engenheiro de Marketing Técnico Marcos Cardoso Engenheiro de Vendas

Desenvolvendo aplicações com LabVIEW FPGA. Rogério Rodrigues Engenheiro de Marketing Técnico Marcos Cardoso Engenheiro de Vendas Desenvolvendo aplicações com LabVIEW FPGA Rogério Rodrigues Engenheiro de Marketing Técnico Marcos Cardoso Engenheiro de Vendas Agenda O que são FPGAs e por que eles são úteis? Programando FPGAs Hardware

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Barramento CoreConnect

Barramento CoreConnect Barramento CoreConnect MO801 1º semestre de 2006 Prof. Rodolfo Jardim de Azevedo Fabiana Bellette Gil - RA 028671 CoreConnect Agenda Conceitos básicos Introdução ao CoreConnect Arquitetura Referências

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

Tópicos em Sistemas Embarcados. Esterel

Tópicos em Sistemas Embarcados. Esterel Universidade Federal do Rio Grande do Sul Instituto de Informática Tópicos em Sistemas Embarcados Esterel Esterel 1. Do Surgimento 2. A Linguagem Esterel 3. Vantagens da Linguagem 4. Desvantagens da Linguagem

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais