Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Tamanho: px
Começar a partir da página:

Download "Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação"

Transcrição

1 Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Lógica Programável INE 5348 Aula 4 Revisão de latches, flip-flops e registradores. escrição de latches, flip-flops e registradores com VHL. Síntese e simulação. guntzel@inf.ufsc.br

2 Observação Importante A teoria sobre o funcionamento de latches, flip-flops e registradores está detalhada nos capítulos 4 e 5 da apostila Introdução aos Sistemas igitais, de José Luís Güntzel e Francisco Assis do Nascimento, a qual econtra-se disponível gratuitamente em formato PF no endereço INE/T/UFS Lógica Programável - semestre 27/2 slide 4.2

3 O Latch RS símbolo circuito com portas nor R R n S S n2 tabela de transição de estados R S t+ t comentário mantém estado anterior estado set estado reset - proibido INE/T/UFS Lógica Programável - semestre 27/2 slide 4.3

4 O Latch RS: análise dinâmica () Supondo que:. em t=t se faça R= e S= 2. e em t=t se faça R= e S= R S n n2 valor indeterminado (=desconhecido) t t t d (n) t d (n2) nada muda nas saídas após t R S INE/T/UFS Lógica Programável - semestre 27/2 slide 4.4

5 O Latch RS: análise dinâmica (2) Supondo que:. em t=t se faça R= e S= 2. e em t=t se faça R= e S= R S n n2 valor indeterminado (=desconhecido) t t t d (n2) t d (n) nada muda nas saídas após t R S INE/T/UFS Lógica Programável - semestre 27/2 slide 4.5

6 O Latch RS: resumo do funcionamento R S ação vai para o estado reset mantém o estado reset (= mantém estado anterior) vai para o estado set mantém o estado set (= mantém estado anterior) estado proibido tabela de transição de estados R S t+ t comentário mantém estado anterior estado set estado reset - proibido INE/T/UFS Lógica Programável - semestre 27/2 slide 4.6

7 O Latch RS: resumo do funcionamento iagrama de estados R= S= tabela de transição de estados (R= S=) (R= S=) (R= S=) (R= S=) R S t+ reset set t - R= S= INE/T/UFS Lógica Programável - semestre 27/2 slide 4.7

8 O Latch RS: Exemplo 4.2 da apostila t t2 R S R S R S t+ t - tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.8

9 O Latch RS ontrolado símbolo circuito com portas nor e and R R R S S S tabela de transição de estados R S t+ t t comentário mantém estado anterior mantém estado anterior estado set estado reset - proibido INE/T/UFS Lógica Programável - semestre 27/2 slide 4.9

10 O Latch RS ontrolado: resumo do funcionamento iagrama de estados = (= R= S=) (= R= S=) = R= S= = (= R= S=) (= R= S=) tabela de transição de estados R S t+ reset set t t - = R= S= INE/T/UFS Lógica Programável - semestre 27/2 slide 4.

11 O Latch RS ontrolado Exemplo 4.3 da apostila t t2 t3 t4 R S R R S t+ S t t - tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.

12 O Latch símbolo circuito a partir do latch RS controlado R S tabela de transição de estados t+ t comentário mantém estado anterior estado reset estado set INE/T/UFS Lógica Programável - semestre 27/2 slide 4.2

13 O Latch Exemplo 4.4 da apostila t t2 t3 t4 t+ t tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.3

14 Latches com ativação em lógica complementar Latch RS R S t+ R S t t tabela de transição de estados símbolo - Latch t+ t tabela de transição de estados símbolo INE/T/UFS Lógica Programável - semestre 27/2 slide 4.4

15 O Flip-flop mestre-escravo circuito mestre m escravo análise dinâmica (exemplo de funcionamento) m t dm t de t dm t de onsiderando uma implementação com portas MOS, necessita 38 transistores: 2 x = 38 t dm = atraso do latch mestre t de = atraso do latch escravo INE/T/UFS Lógica Programável - semestre 27/2 slide 4.5

16 O Flip-flop mestre-escravo Exemplo 4.7 da apostila dado 3 2 controle controle dado t+ 3 t 2 INE/T/UFS Lógica Programável - semestre 27/2 slide 4.6

17 O Flip-flop disparado pela borda ascendente (ou Flip-flop sensível à borda ascendente) símbolo circuito com portas nand tabela de transição de estados 2 5 t+ 3 6 t 4 necessita 24 transistores INE/T/UFS Lógica Programável - semestre 27/2 slide 4.7

18 O Flip-flop disparado pela borda ascendente Exemplo 4.8 da apostila t+ t tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.8

19 O Flip-flop JK (disparado pela borda ascendente) símbolo tabela de transição de estados J K t+ J K t t t INE/T/UFS Lógica Programável - semestre 27/2 slide 4.9

20 O Flip-flop JK (disparado pela borda ascendente) J Exemplo 4.9 da apostila K J J K t+ K t t t tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.2

21 Flip-flops disparados pela borda descendente (ou Flip-flops sensíveis à borda descendente) Flip-flop t+ t tabela de transição de estados Flip-flop JK símbolo J K t+ t J K t tabela de transição de estados símbolo t INE/T/UFS Lógica Programável - semestre 27/2 slide 4.2

22 Flip-flops com set e reset assíncronos Exemplo 4. da apostila dado K clear K limpa limpa dado t+ t tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.22

23 Flip-flops com set e reset assíncronos ajusta Exemplo 4.2 da apostila K preset J K ajusta K J J K t+ K t t t tabela de transição de estados INE/T/UFS Lógica Programável - semestre 27/2 slide 4.23

24 Tempo de Preparação - tsu (setup time) Tempo de Manutenção - th (hold time) Atraso de Propagação - td ou tp (propagation delay) th th tsu tsu K Latch td td Flip-flop disparado pela borda de descida td valor da entrada não é amostrado INE/T/UFS Lógica Programável - semestre 27/2 slide 4.24

25 Latch (ativado por nível lógico alto) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY latch IS PORT (, clk : IN ST_LOGI; : OUT ST_LOGI); EN latch; clk ARHITETURE comportamento OF latch IS PROESS (, clk) IF clk = THEN <= ; EN IF; EN PROESS; EN comportamento; t+ t INE/T/UFS Lógica Programável - semestre 27/2 slide 4.25

26 Flip-flop (disparado pela borda ascendente) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ff IS PORT (, clk : IN ST_LOGI; : OUT ST_LOGI); EN ff; ARHITETURE comportamento OF ff IS PROESS (clk) IF clk EVENT AN clk = THEN <= ; EN IF; EN PROESS; EN comportamento; clk Atributo: refere-se a troca de nível de clk t t+ INE/T/UFS Lógica Programável - semestre 27/2 slide 4.26

27 Flip-flop (disparado pela borda ascendente) - Versão 2 LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ff IS PORT (, clk : IN ST_LOGI; : OUT ST_LOGI); EN ff; clk ARHITETURE comportamento OF ff IS PROESS WAIT UNTIL clk EVENT AN clk = ; <= ; EN PROESS; EN comportamento; A lista de sensibilização é omitida O Uso de WAIT UNTIL especifica implicitamente que somente o relógio faz parte da lista de sensibilização INE/T/UFS Lógica Programável - semestre 27/2 slide 4.27

28 WAIT UNTIL Para efeitos de síntese de circuitos, WAIT UNTIL somente pode ser usado se ele for a primeira atribuição do processo Na verdade, EVENT é redundante no comando WAIT UNTIL e portanto poderíamos simplificar para WAIT UNTIL clk= ; o que se refere ao sinal clk se tornar igual a Entretanto, algumas ferramentas de síntese de circuitos a partir de VHL exigem a inclusão do atributo EVENT INE/T/UFS Lógica Programável - semestre 27/2 slide 4.28

29 Flip-flop (com reset assíncrono ativado com lógica negada) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ff IS PORT (, Resetn, clk : IN ST_LOGI; : OUT ST_LOGI); EN ff; clk lear ARHITETURE comportamento OF ff IS PROESS (Resetn, clk) IF Resetn = THEN <= ; ELSIF clk EVENT AN clk = THEN <= ; EN IF; EN PROESS; EN comportamento; Resetn Primeiro testa se o reset assíncrono está ativado (pois é um sinal de mais alta hierarquia) INE/T/UFS Lógica Programável - semestre 27/2 slide 4.29

30 Flip-flop (com reset síncrono ativado com lógica negada) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ff IS PORT (, clear, clk : IN ST_LOGI; : OUT ST_LOGI); EN ff; clear clk ARHITETURE comportamento OF ff IS PROESS (clk) IF clk EVENT AN clk = THEN IF clear = THEN <= ; ELSIF <= ; EN IF; EN PROESS; EN comportamento; A ativação do reset está condicionada ao sinal clk estar passando pela borda ascendente (ou seja, este sinal é síncrono) INE/T/UFS Lógica Programável - semestre 27/2 slide 4.3

31 Registradores Registrador com carga paralela (versão ) entradas individuais K 3 2 sinal de carga (relógio) saídas individuais INE/T/UFS Lógica Programável - semestre 27/2 slide 4.3

32 Registradores Usando explicitamente flip-flops do tipo clk 3 2 Uma abordagem possível para se descrever um registrador de vários bits é criar uma entidade que instancia flip-flops na quantidade desejada. Vejamos INE/T/UFS Lógica Programável - semestre 27/2 slide 4.32

33 Registradores (Usando explicitamente flip-flops do tipo ) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ff IS PORT (, clk : IN ST_LOGI; : OUT ST_LOGI); EN ff; clk ARHITETURE comportamento OF ff IS PROESS (clk) IF clk EVENT AN clk = THEN <= ; EN IF; EN PROESS; EN comportamento; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.33

34 Registrador de 4 bits (com flip-flops do tipo ) 3 2 LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY reg4b IS PORT ( clk : IN ST_LOGI; clk : IN ST_LOGI_VETOR (3 OWNTO ); : OUT ST_LOGI_VETOR (3 OWNTO )); EN reg4b; ARHITETURE estrutura OF reg4b IS OMPONENT ff PORT (, clk : IN ST_LOGI; : OUT ST_LOGI); EN OMPONENT; ff: ff PORT MAP ((), clk, ()); ff: ff PORT MAP ((), clk, ()); ff2: ff PORT MAP ((2), clk, (2)); ff3: ff PORT MAP ((3), clk, (3)); EN estrutura; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.34

35 Registrador de 4 bits (versão não hierárquica) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY reg4b IS clk PORT ( clk : IN ST_LOGI; : IN ST_LOGI_VETOR (3 OWNTO ); : OUT ST_LOGI_VETOR (3 OWNTO )); EN reg4b; ARHITETURE comportamento OF reg4b IS PROESS (clk) IF clk EVENT AN clk = THEN <= ; EN IF; EN PROESS; EN comportamento; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.35

36 Registrador de 4 bits (versão com reset assíncrono) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY reg4b IS PORT ( Resetn, clk : IN ST_LOGI; : IN ST_LOGI_VETOR (3 OWNTO ); : OUT ST_LOGI_VETOR (3 OWNTO )); EN reg4b; ARHITETURE comportamento OF reg4b IS PROESS (clk) IF Resetn = THEN <= ; ELSIF clk EVENT AN clk = THEN <= ; EN IF; EN PROESS; EN comportamento; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.36

37 Registrador com carga paralela (versão 2) carga K 3 2 sinal de carga separado do relógio símbolo 4 carga Reg 4 INE/T/UFS Lógica Programável - semestre 27/2 slide 4.37

38 Registrador com carga paralela (versão 2) Exemplo 5. da apostila dado K limpa carga K limpa clear dado carga INE/T/UFS Lógica Programável - semestre 27/2 slide 4.38

39 Registrador de 4 bits (versão não hierárquica com carga) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY reg4b IS PORT ( clk, carga : IN ST_LOGI; : IN ST_LOGI_VETOR (3 OWNTO ); : OUT ST_LOGI_VETOR (3 OWNTO )); EN reg4b; carga clk ARHITETURE comportamento OF reg4b IS PROESS (clk) IF clk EVENT AN clk = THEN IF carga= THEN <= ; EN IF; EN IF; EN PROESS; EN comportamento; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.39

40 Registrador de 32 bits (versão não hierárquica, c/ carga) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY reg32b IS PORT ( clk, carga : IN ST_LOGI; : IN ST_LOGI_VETOR (3 OWNTO ); : OUT ST_LOGI_VETOR (3 OWNTO )); EN reg32b; ARHITETURE comportamento OF reg32b IS PROESS (clk) IF clk EVENT AN clk = THEN IF carga= THEN <= ; EN IF; EN IF; EN PROESS; EN comportamento; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.4

41 Generalizando para N bits LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY regn IS GENERI (N : INTEGER := 6 ); PORT ( Resetn, clk, carga : IN ST_LOGI; : IN ST_LOGI_VETOR (N- OWNTO ); : OUT ST_LOGI_VETOR (N- OWNTO )); EN regn; ARHITETURE comportamento OF regn IS PROESS (clk, Resetn) IF Resetn = THEN <= (OTHERS => ); ELSIF clk EVENT AN clk = THEN IF carga= THEN <= ; EN IF; EN IF; EN PROESS; EN comportamento; INE/T/UFS slide 4.4 Lógica Programável - semestre 27/2

42 Experimento escrever em VHL, sintetizar e simular para FPGAs Stratix o seguinte registrador: Aproveitar a descrição VHL da transparência anterior. Levantar as seguintes informações: Número de LEs aracterísticas temporais INE/T/UFS Lógica Programável - semestre 27/2 slide 4.42

43 Registrador de deslocamento (à direita) Exemplo 5.2 da apostila dado (entrada serial) 3 clear 2 clear clear clear limpa K 3 2 K limpa dado 3 2 INE/T/UFS Lógica Programável - semestre 27/2 slide 4.43

44 Registrador de deslocamento (à esquerda) Exemplo 5.3 da apostila 3 clear 2 clear clear clear dado (entrada serial) limp K a K 3 2 limpa dado 3 2 INE/T/UFS Lógica Programável - semestre 27/2 slide 4.44

45 Registrador de deslocamento com carga paralela I I I I 3 2 entrada serial p/ desl. à esq. entrada serial p/ desl. à dir. Reset Reset 3 2 Reset Reset K clear 3 2 clear K operação mantém conteúdo mantém conteúdo desloca à esquerda desloca à direita carga paralela zera conteúdo INE/T/UFS Lógica Programável - semestre 27/2 slide 4.45

46 Registrador-eslocador Uma alternativa para se descrever um registrador-deslocador é utilizar a hierarquia Suponha que se deseje usar o circuito abaixo como componente básico sel clk Então, descrevendo-o em VHL INE/T/UFS Lógica Programável - semestre 27/2 slide 4.46

47 Registrador-eslocador (componente básico) LIBRARY ieee; USE ieee.std_logic_64.all; muxffd ENTITY muxffd IS PORT (,, sel, clk: IN ST_LOGI; : OUT ST_LOGI ); EN muxffd; ARHITETURE comportamento OF muxffd IS PROESS WAIT UNTIL clk EVENT AN clk = ; IF sel = THEN <= ; ELSE <= ; EN IF; EN PROESS; EN comportamento; sel clk INE/T/UFS Lógica Programável - semestre 27/2 slide 4.47

48 Registrador-eslocador (de 4 bits, hierárquico) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY shift4 IS PORT ( R : IN ST_LOGI_VETOR(3 OWNTO ); carga, serial, clk : IN ST_LOGI; : BUFFER ST_LOGI_VETOR(3 OWNTO ) ); EN shift4; Por que BUFFER? ARHITETURE estrutura OF shift4 IS OMPONENT muxffd PORT (,, sel, clk : IN ST_LOGI; : OUT ST_LOGI ); EN OMPONENT; estagio3: muxffd PORT MAP ( serial, R(3), carga, clk, (3) ); estagio2: muxffd PORT MAP ( (3), R(2), carga, clk, (2) ); estagio: muxffd PORT MAP ( (2), R(), carga, clk, () ); estagio: muxffd PORT MAP ( (), R(), carga, clk, () ); EN estrutura; sel clk muxffd INE/T/UFS Lógica Programável - semestre 27/2 slide 4.48

49 Modos Possíveis para os Sinais que são Portas de Entidade Modo IN OUT INOUT BUFFER Uso Para sinal que é entrada de entidade Para sinal que é saída de entidade. O valor do sinal não pode ser usado dentro da entidade (i.e., o sinal só pode aparecer à esquerda de uma atribuição) Para sinal que é tanto entrada quanto saída de entidade Para sinal que é saída de entidade. O valor do sinal pode ser usado dentro da entidade (i.e., o sinal pode aparecer tanto à esquerda quanto à direita de uma atribuição) INE/T/UFS Lógica Programável - semestre 27/2 slide 4.49

50 Registrador-eslocador (de 4 bits, nao hierárquico) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY shift4 IS PORT ( R : IN ST_LOGI_VETOR(3 OWNTO ); carga, serial, clk : IN ST_LOGI; : BUFFER ST_LOGI_VETOR(3 OWNTO ) ); EN shift4; ARHITETURE comportamento OF shift4 IS PROESS WAIT UNTIL clk EVENT AN clk = ; IF carga = THEN <= R; ELSE () <= (); () <= (2); (2) <= (3); (3) <= serial; EN IF; EN PROESS; INE/T/UFS Lógica EN comportamento; Programável - semestre 27/2 slide 4.5 sel clk O que acontece se invertermos a ordem destas atribuições?

51 Registrador-eslocador (com n bits, não hierárquico) LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY shiftn IS GENERI ( N : INTEGER := 8 ); PORT ( R : IN ST_LOGI_VETOR(N- OWNTO ); carga, serial, clk : IN ST_LOGI; : BUFFER ST_LOGI_VETOR(N- OWNTO ) ); EN shiftn; ARHITETURE comportamento OF shiftn IS PROESS WAIT UNTIL clk EVENT AN clk = ; IF carga = THEN <= R; ELSE Genbits: FOR i IN TO N-2 LOOP (i) <= (i+); EN LOOP; (N-) <= w; EN IF; INE/T/UFS slide 4.5 Lógica EN Programável PROESS; - semestre 27/2 EN comportamento; sel clk

52 FOR LOOP x FOR GENERATE FOR LOOP: usado para gerar um conjunto de atribuições seqüenciais (logo, usado dentro de processos) FOR GENERATE: usado para gerar um conjunto de atribuições concorrentes (logo, usado dentro de bloco, mas fora de processos) INE/T/UFS Lógica Programável - semestre 27/2 slide 4.52

53 Experimento 2 escrever em VHL, sintetizar e simular para FPGAs Stratix o um registrador de deslocamento para a direita da transparência anterior. Acrescentar um reset assíncrono ativado com lógica direta. Levantar as seguintes informações: Número de LEs aracterísticas temporais INE/T/UFS Lógica Programável - semestre 27/2 slide 4.53

54 Registrador contador ( bit) Exemplo 5.4 da apostila K clear K limpa limpa INE/T/UFS Lógica Programável - semestre 27/2 slide 4.54

55 Registrador contador (3 bits) Exemplo 5.5 da apostila K clear clear 2 clear limpa 2 K limpa 2 INE/T/UFS Lógica Programável - semestre 27/2 slide 4.55

56 ontador Assíncrono (ripple) de 3 bits Usando flip-flops versão 2 2 K clear clear clear limpa 2 Para descrever este tipo de contador em VHL usando hierarquia, iremos instanciar o flip-flop Porém, a descrição do flip-flop em VHL deve conter ambas saídas, e N INE/T/UFS Lógica Programável - semestre 27/2 slide 4.56

57 Flip-flop (versão 2) om saídas e N LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY ffv2 IS PORT (, clk, limpa : IN ST_LOGI;, N : OUT ST_LOGI); EN ffv2; ARHITETURE comportamento OF ffv2 IS PROESS (clk, limpa) IF limpa= THEN <= ; N <= ; ELSIF clk EVENT AN clk = THEN <= ; N <= not ; EN IF; EN PROESS; EN comportamento; clear INE/T/UFS Lógica Programável - semestre 27/2 slide 4.57

58 ontador Assíncrono (ripple) de 3 bits LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY cont3b IS PORT ( clk, limpa : IN ST_LOGI; Aout : OUT ST_LOGI_VETOR (2 OWNTO )); EN cont3b; ARHITETURE estrutura OF cont3b IS SIGNAL N, N, N2 : ST_LOGI; limpa OMPONENT ffv2 PORT (, clk, limpa: IN ST_LOGI;, N : OUT ST_LOGI); EN OMPONENT; (Usando o flip-flop versão 2) K clea r clea r 2 clea r 2 ff: ffv2 PORT MAP (N, clk, limpa, Aout(), N); ff: ffv2 PORT MAP (N, N, limpa, Aout(), N); ff2: ffv2 PORT MAP (N2, N, limpa, Aout(2), N2); EN estrutura; INE/T/UFS Lógica Programável - semestre 27/2 slide 4.58

59 ontador Assíncrono (ripple) de 4 bits LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY contador IS PORT ( clk, limpa, carga : IN ST_LOGI; : OUT ST_LOGI_VETOR( 3 OWNTO ) ); EN contador ; ARHITETURE comportamento OF contador IS SIGNAL conta : ST_LOGI_VETOR (3 OWNTO ) ; PROESS (clk, limpa) IF limpa = THEN conta <= ; ELSIF ( clk EVENT AN clk = ) THEN IF carga = THEN conta <= conta + ; ELSE conta <= conta; EN IF; EN IF; EN PROESS; INE/T/UFS <= conta; EN Lógica comportamento; Programável - semestre 27/2 Não é necessário (apenas por clareza ) slide 4.59

60 ontador Assíncrono (ripple) de n bits LIBRARY ieee; USE ieee.std_logic_64.all; ARHITETURE comportamento OF contnb IS PROESS (clk, limpa) IF limpa = THEN <= ; ELSIF ( clk EVENT AN clk = ) THEN IF carga = THEN <= R; ELSE <= + ; EN IF; EN IF; EN PROESS; EN INE/T/UFS comportamento; slide 4.6 Lógica Programável - semestre 27/2 (om carga paralela e usando sinais do tipo integer ) ENTITY contnb IS PORT ( R : IN INTEGER RANGE TO 5; clk, limpa, carga : IN ST_LOGI; : BUFFER INTEGER RANGE TO 5 ); EN contnb ;

61 Experimento 3 escrever em VHL, sintetizar e simular para FPGAs Stratix o um registrador contador da transparência anterior. Levantar as seguintes informações: Número de LEs aracterísticas temporais INE/T/UFS Lógica Programável - semestre 27/2 slide 4.6

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de anta atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação istemas igitais INE 546 Aula 3-T 3. evisão de latches, flip-flops

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 6-P escrição em VHL, síntese e simulação de latches, flip-flops

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 7-P escrição em VHL, síntese e simulação de registradores

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais ircuitos Sequenciais! ircuitos Sequenciais ircuitos em que há uma realimentação da saída para a entrada, denominada estado interno. As condições atuais da entrada e do estado interno determinem a condição

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

1 a Lista de Exercícios

1 a Lista de Exercícios Observações gerais: Universidade Federal de Santa atarina epartamento de Informática e Estatística Bacharelado em iências da omputação INE 546 - Sistemas igitais - semestre 2/2 Prof. José Luís Güntzel

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152.

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152. NOTA DE AULA NE7720 ITEMA DIGITAI - II AULA 2 Implementação de Flip-Flops dos tipos JK e R Livro Texto pág. 3 a 7 e 24 e 46 a 48 e 50 a 52..) Estudo do F/F tipo JK. a) Tabela da verdade do F/F tipo JK.

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 9 Projeto de Blocos Seqüenciais Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HL Inferência de elementos de memória Prof. Rodrigo de Paula Rodrigues Inferência de elementos de memória Forma direta J

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Flip-Flop

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Latches e Flip Flops GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Lógica: Combinacional x Sequencial

Lógica: Combinacional x Sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 6 - Flip Flop Multivibrador biestável Curitiba, 2 maio

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis 33 1. Objetivo Analisar a operação de circuitos biestáveis: latches e flip-flops tipo RS, JK, T e D. 2. Conceito Um latch ou um flip-flop também são conhecidos como dispositivos biestáveis. Os biestáveis

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Flip-Flop RS Circuitos sequenciais tem suas saídas dependentes dos sinais de entrada, ou estados anteriores que permanecem armazenados O Flip-Flop: Dispositivo que possui dois estados

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata ataria etro Tecológico epartameto de Iformática e Estatística urso de Graduação em iêcias da omputação ula 3-T. Projeto de UL. Registradores de uso específico. ULs persoalizadas

Leia mais

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark Básculas Flip-flops Sinal de relógio Básculas actualizadas no flanco Flip-flops master-slave Flip-flops edge-triggered Flip-flops SR, D, JK e T Entradas directas Características temporais 2 1 Um circuito

Leia mais

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES CIRCUITOS SEUENCIAIS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 INVERSORES CMOS PROJETOS DIGITAIS E MICROPROCESSADORES 2 INVERSORES CMOS PROJETOS

Leia mais

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop )

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop ) Células de memória síncronas ESV-ESI-Sistemas igitais-fundamentos dos Circuitos Sequenciais (2) 1/14 As células de memória síncronas reagem de forma sincronizada com um sinal de relógio ( Clock -), o qual

Leia mais

Flip-Flops Sincronizados tipo D

Flip-Flops Sincronizados tipo D Flip-Flops Sincronizados tipo D Um FF sincronizado depende diretamente de pulsos de clock para a sua liberação (enable). Veja abaixo um FF do tipo D implementado a partir de um FF RS básico. Analisando

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1 Eletrônica Digital II Flip-Flop s Prof. Msc. Getúlio Teruo Tateoki 1 Diagrama geral de um sistema digital Prof. Msc. Getúlio Teruo Tateoki 2 Símbolo geral para um flip-flop e seus dois estados de saída

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores Aula 11 - Circuitos Sequenciais Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br Copyright 2010, IFPE. Creative Commons BY-SA 3.0 license Latest update: 30 de Mai

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

Circuitos Seqüenciais Latches e Flip-Flops

Circuitos Seqüenciais Latches e Flip-Flops UNIVASF Eletrônica Digital I Circuitos Seqüenciais Latches e Flip-Flops Material do professor Rodrigo Ramos (UNIVASF) Circuitos Seqüenciais Circuitos Digitais Combinatório: As saídas, em qualquer instante,

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

4 Circuitos Seqüenciais

4 Circuitos Seqüenciais 4 ircuitos eqüenciais onforme já citado no capítulo 3, os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores Prof.ª Msc. Patricia Pedroso Estevam Ribeiro Email: patriciapedrosoestevam@hotmail.com 26/11/216 1 Registradores de deslocamento

Leia mais

APÊNDICE A Resumo Teórico

APÊNDICE A Resumo Teórico EPUP P 2011/2305/2355 Laboratório igital 1 IUITO BIETÁVEI APÊNIE A esumo Teórico Há dois aspectos importantes a serem considerados a respeito de circuitos biestáveis (flip-flops): o tipo de sincronismo

Leia mais

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores Prof. Antonio Heronaldo de Sousa Agenda - Contadores - Conceitos - Contadores Assíncronos - Máquina de Estados Finitos - Contadores Assíncronos

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: Circuitos Sequenciais Básicos: Flip-Flops Flip-Flops (Flip-flop master-slave, Flip-flop JK,

Leia mais

Shift Registers e Contadores Assíncronos

Shift Registers e Contadores Assíncronos Shift Registers e Contadores Assíncronos Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 28 de maio de 2015 1 / 19 Registradores Registradores nada mais são que Flip-flops

Leia mais

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore Demonstração de imagens de auxílio didático VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore ISBN 85-216-1452-7 Editora LTC www.ltceditora.com.br Para imagens de um curso completo consulte:

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Latches

Leia mais

3 a Lista de Exercícios

3 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2010/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Circuitos Aritméticos 1. Construa a tabela verdade de um somador completo (FA) de um bit e

Leia mais

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Circuitos Digitais Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Plano de Ensino e Aprendizagem ( PEA) OBJETIVOS DA AULA : - Iniciar o estudo dos Circuitos Sequenciais; - Conhecer os Circuitos

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS IGITAIS (S) MEE Acetatos das Aulas Teóricas Versão. - Português Aula N o 4: Título: Sumário: ircuitos Sequenciais Básicos: aracterização temporal; Metodologia de sincronização temporal. /4 Nuno.Roma@tecnico.ulisboa.pt

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Armazenamento e Transferência de Dados

Armazenamento e Transferência de Dados Armazenamento e Transferência de Dados Prof. André Rabelo Slides: Sistemas Digitais(Bibliografia básica) Armazenamento e Transferência de Dados FFs são comumente usados para armazenamento e transferência

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Pedroni Capítulo 14 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/35 Conteúdo programático 1 Registradores de Deslocamento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH ENTRO FEDERL DE ENSINO TENOLÓGIO DE SNT TRIN UNIDDE DESENTRLIZD DE SÃO JOSÉ URSO TÉNIO DE TELEOMUNIÇÕES ELETRÔNI DIGITL 1 PÍTULO 4 FLIP-FLOP E LTH Prof. Jorge H.. asagrande RIL 2005 PÍTULO 4 FLIP-FLOP

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR

FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR FLIP FLOPS PR E CLR FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR Os FFs sincronizados podem ter entradas adicionais, denominadas assíncronas, pois estas sobrepõem-se às demais. Essas entradas comumente

Leia mais

Um flip-flop S-R Sincrono depende da habilitação de suas entradas por um sinal de clock para que essas possam alterar o estado do mesmo.

Um flip-flop S-R Sincrono depende da habilitação de suas entradas por um sinal de clock para que essas possam alterar o estado do mesmo. 6.0 Flip-flop São células básicas de uma memória, ou seja, são capazes de memorizar um bit. Um conjunto de flip-flops é capaz de armazenar vários bits, ou seja, uma informação que pode representar o estado

Leia mais

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops Introdução Tipos Circuitos Integrados Introdução - Um Flip-flop é uma célula de memória, accionada por um dos flancos do relógio. - Em geral, os flip-flops aparecem com duas entradas independentes do sinal

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Capítulo VII Elementos de Memória

Capítulo VII Elementos de Memória Capítulo VII Elementos de Memória 1 Introdução Neste capítulo estudaremos dispositivos lógicos com dois estados estáveis, o estado SET e o estado RESET. Por isto, tais dispositivos são denominados dispositivos

Leia mais

Disciplina ELETRÔNICA DIGITAL

Disciplina ELETRÔNICA DIGITAL Disciplina ELETRÔNICA DIGITAL Eletrônica Digital MÓDULO UM: Estudo dos sistemas seqüenciais e desenvolvimento de elemento de memória latch-ne e nascimento dos flip-flops tipos T, RS, JK e D, solução de

Leia mais

FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR

FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR FLIP-FLOPS SINCRONIZADOS, COM ENTRADAS PR e CLR Os FFs sincronizados podem ter entradas adicionais, denominadas assíncronas, pois estas se sobrepõem às demais. Essas entradas comumente denominadas PR e

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) PACOTES (package) Entidades e Arquiteturas são

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA. Flip - Flops

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA. Flip - Flops INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA Flip - Flops Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais