ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

Tamanho: px
Começar a partir da página:

Download "ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel"

Transcrição

1 ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel

2 ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP

3 Latch R Latch R R R R * **

4 R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE ETADO Entradas de excitação Estado presente R * X X Estado futuro Não muda REET ET Proibido EUAÇÃO CARACTERÍTICA * = + R

5 Ck Latch R Latch R íncrono R 0XX 0X 0 DIAGRAMA DE ETADO CR 0 0 0XX X0 TABELA DE EXCITAÇÃO Ck R * 0 0 X X 0 0 X X X X Mantém Não muda REET ET Proibido

6 Latch R K-MAP EUAÇÃO CARACTERÍTICA * = C + R + C e C = 0 * = e C = * = + R (*) C = CK que é a eq. característica do R simples

7 FF R M FLIP-FLOP Latches inadequados para uso em sistemas seqüenciais síncronos quando o sinal de Enable está ativo, as entradas são gatilhadas diretamente com a saída Portanto: qualquer mudança na entrada de excitação causa imediatamente uma mudança na saída do latch uando o Enable está ativo o Latch comporta-se como Circuito Combinacional!

8 FF R M FLIP-FLOP olução controle de tempo CLOCK para restringir os tempos nos quais os estados dos elementos de memória podem mudar C Ck R Ck R Flip-flop Mestre-Escravo R

9 FF R M FLIP-FLOP = à do latch simples Equação característica * = + R aída do latch R reage imediatamente a mudanças na entrada aída do FF R controlada pelo pulso de C C Ck R Ck R Flip-flop Mestre-Escravo R

10 FF R M Flip-flop R Mestre-Escravo C Ck R Ck R TABELA DE EXCITAÇÃO DIAGRAMA DE ETADO x0 0x

11 FF R M Flip-flop Tipo D Mestre-Escravo C D Ck Ck TABELA DE EXCITAÇÃO DIAGRAMA DE ETADO EUAÇÃO CARACTERÍTICA * = D

12 FF JK M Flip-flop JK Mestre-Escravo DIAGRAMA DE ETADO TABELA DE EXCITAÇÃO x x0 0x x EUAÇÃO CARACTERÍTICA? DIAGRAMA LÓGICO?

13 FF JK M Flip-flop JK Mestre-Escravo DIAGRAMA DE ETADO DIAGRAMA LÓGICO x x0 0x x EUAÇÃO CARACTERÍTICA * = K + J

14 FF Tipo D Flip-flop Tipo D sensível à borda ensibilidade a bordas elimina as transições não estáveis período durante o qual o sinal na entrada de excitação é disponibilizado ao Latch do FF = muito curto. ímbolo Lógico padrão IEEE, do FF D sensível à subida de borda. TABELA DE EXCITAÇÃO O pequeno triângulo na entrada CLK indica que o dispositivo é disparado em borda positiva.

15 FF JK e tipo T Flip-flop JK sensível à borda Flip-flop tipo T EUAÇÃO CARACTERÍTICA * = J + K O pequeno triângulo e o cículo na entrada CLK indicam que o dispositivo é sensível a transições em borda negativa. EUAÇÃO CARACTERÍTICA * = J + K TABELA DE EXCITAÇÃO = T + T

16 FF JK e tipo T UMÁRIO LATCHE E FF Dispositivo Equação Característica Latch R Latch R Gatilhado Latch D Flip-flop R Flip-flop D Flip-flop JK Flip-flop T (sensível a borda) Flip-flop T (com relógio) * = + R * = C + R + C * = DC + C * = + R * = D * = K + J * = * = T + T

17 FF JK e tipo T Exercício Análise de sist. sequencial síncrono. Determinar: Tabela de Estado; Diagrama de Estado; Diagrama de tempo equência de entrada: X = Estado inicial: y = 0 x 2 3 z y T y CLK Clock

18 FF JK e tipo T Exercício Análise de sist. sequencial síncrono 2. Determinar: Tabela de Estado; Diagrama de Estado; Diagrama de tempo equência de entrada: X = 000 Estado inicial: y 0 y 20 = 0

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 546 Aula 4-T 4 Máquinas Seqüenciais

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q Memorias Flip-Flop básico (Assíncrono) Tabela da verdade A preencher Flip-Flop básico (Assíncrono) Tabela da verdade esumindo: A = A = A = = = A X = X não permitido X não permitido Flip-Flops íncrono Com

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de FLIP-FLOPS FLOPS INTRODUÇÃO Os circuitos anteriormente estudados são chamados de circuitos combinacionais porque os níveis n lógicos l de saída dependem apenas dos níveis n lógicos l nas entradas. (os

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

CIRCUITOS. FLIP FLOPs

CIRCUITOS. FLIP FLOPs MINISTÉRIO D EDUÇÃO EFET/S - Unidade de São José urso técnico em telecomunicações IRUITOS FLIP FLOPs São José - S, 2006-1 SUMÁRIO 4. FLIP FLOPS... 1 4.1 INTRODUÇÃO......1 4.2 FLIP-FLOP R-S (RESET ESET

Leia mais

Colégio Metrópole. Curso Técnico Instrumentação e Automação Industrial

Colégio Metrópole. Curso Técnico Instrumentação e Automação Industrial Colégio Metrópole Curso Técnico Instrumentação e Automação Industrial Eletrônica Digital Professor: David Maciel 1 Colégio Metrópole VIASHOPPING BARREIRO - 4º Piso Inverno Tel.: 3322-8941 e-mail: metropole@colegiometropole.com.br

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Contadores. Contadores Assíncronos Crescentes

Contadores. Contadores Assíncronos Crescentes Contadores Variam seus estados sob o comando de um clock; São utilizados para: Contagens diversas; Divisão de frequência; Medição de frequência e tempo; Geração de formas de onda; Conversão analógico para

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br Circuitos Digitais Contadores Orivaldo Santana Jr. ovsj@cin.ufpe.br Roteiro Introdução Contadores Assíncronos Crescentes Contadores Assíncronos Decrescentes Contador Assíncrono Crescente/Decrescente Introdução

Leia mais

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL. CAPÍTULO 3 CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.. Introdução : O uso dos F/Fs nos permite uma série de aplicações, tais como, memórias, contadores,

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

- SISTEMAS DIGITAIS II

- SISTEMAS DIGITAIS II FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Conceitos sobre Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 7.1, 7.2, 7.4, 7.5, 7.12, 9.2, DDPP, Wakerly

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado Slide 1 Flip-flop NOR SR cruzado Flip-Flops Reiniciar Configurar Reiniciar Configurar Reter Não usado Flip-flop NAND SR cruzado Reiniciar Configurar Reiniciar Configurar Reter Não usado 6.071 Lógica Digital

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO Caderno Didático - Disciplina de Sistemas Digitais A Prof. Dr. José Renes Pinheiro Colaboradores: José Eduardo

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas. Prof. Dr. Fabian Vargas Índice 1. Portas Lógicas 1.1 Introdução 1.2 Diagramas de Tempo 1.3 Análise Booleana de Circuitos Lógicos Básicos 2. Circuitos Combinacionais 2.1. Introdução aos Circuitos Combinacionais

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

Arquitectura de Computadores (I)

Arquitectura de Computadores (I) epartamento de iências e Tecnologias da Informação Arquitectura de omputadores (I) Textos de apoio ircuitos Sequenciais raft Versão.2b Novembro de 29 Tomás Brandão. Índice. LATHES...5.. LATH SR...5.2.

Leia mais

Apostila para Eletrônica ELETRÔNICA DIGITAL I

Apostila para Eletrônica ELETRÔNICA DIGITAL I Apostila para Eletrônica ELETRÔNICA DIGITAL I Prof. Reinaldo Bolsoni Eletrônica Digital I 1/37 ÍNDICE 1 - SISTEMA NUMÉRICO... 3 1.1 - SISTEMA BINÁRIO...3 Conversão Decimal para Binário...4 Conversão Binário

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Análise e Projeto de Contadores Síncronos. Circuitos Lógicos Prof. Daniel D. Silveira

Análise e Projeto de Contadores Síncronos. Circuitos Lógicos Prof. Daniel D. Silveira Circuitos Lógicos Análise e Projeto de Contadores Síncronos Prof.: Daniel D. Silveira 1 Análise de contadores síncronos Circuitos de contadores síncronos podem ser projetados para gerar qualquer seqüência

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

ROTEIRO DE LABORATÓRIO

ROTEIRO DE LABORATÓRIO ROTEIRO DE LABORATÓRIO Laboratório 47 48 Laboratório Laboratório 49 ÍNDICE. ORIENTAÇÕES GERAIS, 5. PARA O PROFESSOR, 5. PARA O ALUNO, 5. TIPOS DE LABORATÓRIO,6.. Tipo Hardware, 6 Dispositivos para as Entradas,

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 30/08/2009

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 30/08/2009 Questão 21 Conhecimentos Específicos - Eletrônica Em um circuito ressonante LC paralelo (bobina em paralelo com capacitor fixo), quando se introduz na bobina um núcleo de ferrita, o que ocorre com a freqüência

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

IMPLEMENTAÇÃO DE AULAS PRÁTICAS DE CIRCUITOS INTEGRADOS NA GRADUAÇÃO DE ENGENHARIA ELETRÔNICA

IMPLEMENTAÇÃO DE AULAS PRÁTICAS DE CIRCUITOS INTEGRADOS NA GRADUAÇÃO DE ENGENHARIA ELETRÔNICA IMPLEMENTAÇÃO DE AULAS PRÁTICAS DE CIRCUITOS INTEGRADOS NA GRADUAÇÃO DE ENGENHARIA ELETRÔNICA João P. C. Cajueiro joaopaulo@ee.ufpe.br Departamento de Eletrônica e Sistemas Universidade Federal de Pernambuco

Leia mais

Circuitos Lógicos Registradores e Contadores

Circuitos Lógicos Registradores e Contadores Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

SISTEMAS DIGITAIS CONTADORES

SISTEMAS DIGITAIS CONTADORES CONTADORES Setembro de 0 CONTADORES - 2 SUMÁRIO: CONTADORES SÍNCRONOS CONTADORES DE MÓDULO 2 N PROJECTO DE CONTADORES FREQUÊNCIA MÁXIMA DE FUNCIONAMENTO SITUAÇÃO DE LOCKOUT SIMBOLOGIA CONTADOR EM ANEL

Leia mais

Práticas de laboratório de Eletrônica Digital

Práticas de laboratório de Eletrônica Digital Universidade Federal de Uberlândia Práticas de laboratório de Eletrônica Digital Prof. Dr. Alan Petrônio Pinheiro Faculdade de Engenharia Elétrica Curso de Engenharia Eletrônica e de Telecomunicações (campus

Leia mais

CIRCUITOS SEQUÊNCIAIS

CIRCUITOS SEQUÊNCIAIS Coelh ho, J.P. @ Sistem mas Digita ais : Y20 07/08 CIRCUITOS SEQUÊNCIAIS O que é um circuito it sequêcial? Difereça etre circuito combiatório e sequecial... O elemeto básico e fudametal da lógica sequecial

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos

EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 555 M-1108A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Introdução... 2 2. Experiência

Leia mais

11. CIRCUITOS INTEGRADOS DEDICADOS AO ACIONAMENTO E CONTROLE DE FONTES CHAVEADAS

11. CIRCUITOS INTEGRADOS DEDICADOS AO ACIONAMENTO E CONTROLE DE FONTES CHAVEADAS Fontes Chaveadas Cap 11 Circuitos Integrados Dedicados J A Pomilio 11 CICUITO INTEGADO DEDICADO AO ACIONAMENTO E CONTOLE DE FONTE CHAVEADA Nos últimos 20 anos, uma variedade de circuitos integrados dedicados

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Programação de CLPs por 1. Diagramas de Contato

Programação de CLPs por 1. Diagramas de Contato Programação de CLPs por Diagramas de Contato 1. Diagramas de Contato 2. Um CLP Genérico 3. Instruções de Entrada e Saída 4. Instruções Booleanas 5. Circuitos de Intertravamento 6. Detecção de Borda 7.

Leia mais

Eletrônica Digital Mecatrônica 2º Etapa. Apostila de Eletrônica Digital

Eletrônica Digital Mecatrônica 2º Etapa. Apostila de Eletrônica Digital Apostila de Eletrônica Digital Fevereiro de 2012 1 Prefácio Esta apostila tem por objetivo servir como referência aos alunos do curso de mecatrônica na disciplina de Eletrônica Digital, e não substitui,

Leia mais

Eletrônica Digital 1 PET - Engenharia Elétrica UFC Março - 2014

Eletrônica Digital 1 PET - Engenharia Elétrica UFC Março - 2014 Eletrônica Digital PET - Engenharia Elétrica UFC Março - 2014 1 Responsáveis A apostila de Eletrônica Digital é de responsabilidade do Programa de Educação Tutorial do curso de Engenharia Elétrica da Universidade

Leia mais

Projecto 2. Simulação e Layout de um gerador de sequência usando lógica C2MOS

Projecto 2. Simulação e Layout de um gerador de sequência usando lógica C2MOS Electrónica III 2011/2012 FCT Prof. Dr. José Bastos Projecto 2 Simulação e Layout de um gerador de sequência usando lógica C2MOS André Cardoso nº40648 MIEET Índice de conteúdos Síntese Teórica...4 Desenvolvimento...5

Leia mais

O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA

O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA Quim. Nova, Vol. 38, No. 3, S1-S6, 2015 O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA Fernando Arruda Mendes de Oliveira a,b, Eduardo Ribeiro

Leia mais

Básculas, Flip-Flops e Debouncing

Básculas, Flip-Flops e Debouncing Trabalho Prático n o 6 Componentes Sequenciais SSI Básculas, Flip-Flops e Debouncing 1 Introdução Este trabalho tem como objectivo: introduzir os rudimentos de circuitos sequenciais em projectos digitais,

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula

Leia mais

Capítulo I Portas Lógicas Básicas

Capítulo I Portas Lógicas Básicas Capítulo I Portas Lógicas Básicas 1 Introdução Em qualquer sistema digital 1 a unidade básica construtiva é o elemento denominado Porta Lógica. Este capítulo descreve as portas lógicas usuais, seu uso

Leia mais

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação PROGRAMA DA DISCIPLINA 1 2 Curso: Engenharia Elétrica Código: 20 3 4 Modalidade(s): Bacharelado Currículo(s): 2005/1 5 Turno(s):

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

APOSTILA DE ELETRÔNICA DIGITAL II

APOSTILA DE ELETRÔNICA DIGITAL II MINISTÉRIO DA EDUCAÇÃO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SÃO PAULO CAMPUS DE PRESIDENTE EPITÁCIO APOSTILA DE ELETRÔNICA DIGITAL II Prof. Andryos da Silva Lemes Esta apostila é destinada

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Eletrônica Digital - ECA. Prof. Fabian Vargas ÍNDICE

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Eletrônica Digital - ECA. Prof. Fabian Vargas ÍNDICE ÍNDICE INTRODUÇÃO AOS CIRCUITOS SEQUENCIAIS 03 1. LATCHES E FLIP FLOPS 08 1.1 LATCH RS NAND 1.2 LATCH RS NOR 1.3 FLIP-FLOP RS COM CLOCK 1.4 LATCH D, FLIP-FLOP D, FLIP-FLOP T 1.5 FLIP-FLOP D MESTRE-ESCRAVO

Leia mais

APOSTILA DE TÉCNICAS DIGITAIS LDM1 PROF ANDRÉ GARCIA

APOSTILA DE TÉCNICAS DIGITAIS LDM1 PROF ANDRÉ GARCIA POSTIL DE TÉCNICS DIGITIS LDM PROF NDRÉ GRCI. SISTEMS DE NUMERÇÃO Sistemas de numeração são mecanismos usados para numerar determinados eventos, através de uma lei de formação. Todos os sistemas que a

Leia mais

Ficha da Unidade Curricular

Ficha da Unidade Curricular Ficha da Unidade Curricular Curso: Engenharia Electrotécnica/Informática Ano Lectivo: 2010/11 Unidade Curr.: Sistemas Digitais Ano Curricular: 1 Créditos: 6 Responsável: João Paulo Coelho Regime: Anual

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

Introdução. de Eletrônica Digital (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. Carga Horária: 60 horas

Introdução. de Eletrônica Digital (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. Carga Horária: 60 horas Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos B de Eletrônica Digital (Parte II) Prof. a Joseana Macêdo Fechine Régis de Araújo

Leia mais

Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Álgebra de Boole A Álgebra de Boole é empregada no projeto de circuitos digitais, para: análise - é um

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui

Leia mais

DRAFT. CLP e SDCD CONCURSO PETROBRAS. Questões Resolvidas TÉCNICO DE MANUTENÇÃO JÚNIOR - ELETRÔNICA

DRAFT. CLP e SDCD CONCURSO PETROBRAS. Questões Resolvidas TÉCNICO DE MANUTENÇÃO JÚNIOR - ELETRÔNICA CONCURSO PETROBRAS TÉCNICO DE MANUTENÇÃO JÚNIOR - ELETRÔNICA CLP e SDCD Questões Resolvidas QUESTÕES RETIRADAS DE PROVAS DA BANCA CESGRANRIO DRAFT Eng. Guilherme R. Dalmedico Eng. Guilherme A. Rutzen www.exatasconcursos.com.br

Leia mais

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:

Leia mais

Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88

Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88 Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88 2.1 - Introdução CPUs de 8 ou 16 bits? O 8085 e o sistema operacional CP/M Semelhança entre a linguagem de máquina do 8085 e o do 8086

Leia mais

Controle de elevador

Controle de elevador Controle de elevador Aluno...: Leonardo Rafael Coordenador: Prof. Eng Luiz Antonio Vargas Pinto vargasp@uol.com.br Escola Técnica Rubens de Faria e Souza 1 Dedicatória e Agradecimentos Dedico aos meus

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

CPM Programa de Certificação do Pessoal de Manutenção. Instrumentação. Eletrônica Digital A B C + A B C + A B C = Y _ A. (B + C).

CPM Programa de Certificação do Pessoal de Manutenção. Instrumentação. Eletrônica Digital A B C + A B C + A B C = Y _ A. (B + C). CPM Programa de Certificação do Pessoal de Manutenção Instrumentação Eletrônica Digital A B C + A B C + A B C = Y _ A. (B + C). D = Y Eletrotécnica Básica Instrumentação SENAI ES, 1999 Trabalho realizado

Leia mais

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO 28 CAPÍTULO 5 INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO Interfaces para periféricos de armazenamento: Periféricos de armazenamento,

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008.

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. 4 a LISTA DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Prof. Alessandro Jacoud Peixoto 1. Suponha

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

Período: 4º Disciplina: Técnicas e Sistemas Digitais

Período: 4º Disciplina: Técnicas e Sistemas Digitais Período: 4º Disciplina: Técnicas e Sistemas Digitais Carga Horária Semestral: 60 h/a Carga Horária Semanal: 3 h/a Núcleo Profissionalizante Pré-requisito: Não há Correquisito: Não há Disciplina Obrigatória

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

Portas lógicas e Circuitos. Marcos Monteiro, MBA

Portas lógicas e Circuitos. Marcos Monteiro, MBA Portas lógicas e Circuitos Marcos Monteiro, MBA Cultura Inútil Em 1854, o matemático britânico George Boole (1815 1864), através da obra intitulada An Investigation of the Laws of Thought (Uma Investigação

Leia mais