CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

Tamanho: px
Começar a partir da página:

Download "CIRCUITOS SEQUENCIAIS. Adão de Melo Neto"

Transcrição

1 CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1

2 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK FLIP-FLOP T APLICAÇÕES - MEMÓRIA (REGISTRADORES) APLICAÇÕES - CONTADORES APLICAÇÕES - REGISTRADORES DE DESLOCAMENTO APLICAÇÕES MEMÓRIA(MEMÓRIA PRINCIPAL) 2

3 Circuitos Sequenciais Nestes circuitos existe uma realimentação da saída para a entrada (denominado estado interno) cuja principal função é fazer com que as saídas dependam das entradas atuais e de estados ocorridos anteriormente. Um circuito seqüencial é constituído de um conjunto de flip-flops e portas lógicas interligadas. 3

4 FLIP-FLOPS 4

5 FLIP-FLOP É o elemento básico utilizado para armazenar informações em um sistema digital. Ele permite a escrita e a leitura de um valor binário (0 e 1). Características Fundamentais Biestável = pode guardar um dos dois valores (0 ou 1) permanentemente e enquanto estiver energizado. Possui dois sinais (Q e /Q), sendo um complemento do outro, ou seja, quando Q=1 então /Q=0 ( e vice-versa). 5

6 FLIP-FLOPS FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CONTROLE FLIP-FLOP D 6

7 FLIP-FLOP SR 7

8 Flip-Flop SR O estado de um flip-flop SR é especificado através do par Q e seu complemento /Q. Estados possíveis: SET, RESET, MEMÓRIA, PROIBIDO PROIBIDO: Combinação S=0 e R=0 Não é utilizada por produzir um estado Q=/Q Estado SET: Q=1 e /Q=0 alcançado pela combinação S=0 e R=1 Estado RESET: Q=0 e /Q=1 alcançado pela combinação S=1 e R=0 MEMÓRIA: Combinação S=1 e R=1 O estado atual é mantido 8

9 Estado Proibido (obtido por S=0 e R=0) Quando S=R=0, as saídas das NAND serão Q=1 e /Q=1. Agora, as entradas das 1 a NAND são S=0 e /Q=1 ==> Q=1 Agora, as entradas das 2 a NAND são Q=1 e R=0 ==> /Q=1 Q=/Q é PROIBIDO. 9

10 Estado SET: Q=1 e /Q=0 (obtido por S=0 e R=1) Observe na figura a seqüência: Quando S=0, a saída da 1 a NAND é Q=1. As entradas da 2 a NAND são Q=1 e R=1 e portanto /Q=0 As entradas da 1 a NAND são S=0 e /Q=0 e portanto Q=1 10

11 Estado RESET: Q=0 e /Q=1 (obtido por S=1 e R=0) Observe na figura a seqüência: Quando R=0, a saída da 2 a NAND é /Q=1. As entradas da 1 a NAND são S=1e /Q=1 e portanto Q=0. As entradas da 2 a NAND são Q=0 e R=0 e portanto /Q=1. 11

12 Estado Memória: Q e /Q mantido (obtido por S=1 e R=1) Exemplo: considere que Q=1 e /Q=0. Quando S=1 e R=1 e Q=1 e /Q=0 As entradas da 1 a NAND são S=1 e /Q=0 e portanto Q=1 As entradas da 2 a NAND são Q=1 e R=1 e portanto /Q=0 12

13 FLIP-FLOP SR COM ENTRADA DE CLOCK 13

14 Flip-Flop SR com entrada de CLOCK (C) Com a entrada de controle (C) não é necessário se fazer uma combinação de S e R para se manter o estado atual - memória (basta C=0) Flip-Flop SR Flip-Flop SR 14

15 Flip-Flop SR com entrada de controle (C) MEMÓRIA RESET SET PROIBIDO

16 Flip-Flop SR com entrada de controle (C) Flip-Flop SR com entrada de clock (c) Flip-Flop SR ESTADO Flip-Flop SR 16 Flip-Flop SR com entrada de clock (c)

17 FLIP-FLOP D 17

18 Motivação: Flip-Flop D Evitar a entrada S=R=1 não determinística, ou seja, que leva ao estado proibido Corresponde ao flip-flop SR com entrada de clock (C) em que não necessita-se mais duas entradas S e R: apenas uma entrada D. Como S=D e R=/D não existe a possibilidade de ocorrer o estado proibido (S=1 e R=1). 18

19 EXEMPLO Flip-Flop D MEMÓRIA RESET SET 19

20 Flip-Flop SR, SR com entrada de clock e D 20

21 DIFERENÇA ENTRE UM FLIP- FLOP e um LATCH Um flip-flop é sensível a uma transição positiva do sinal de clock e um latch é sensível ao nível deste mesmo sinal C 21

22 DIFERENÇA ENTRE UM FLIP- FLOP e um LATCH Um flip-flop é sensível a uma transição positiva do sinal de clock e um latch é sensível ao nível deste mesmo sinal FLIP FLOP D 22

23 FLIP-FLOP JK 23

24 Flip-Flop JK 24

25 FLIP-FLOP D a partir do JK 25

26 Flip-Flop D 26

27 FLIP-FLOP T a partir do JK 27

28 Flip-Flop T 28

29 EXERCÍCIOS FF D Preencha o Diagrama de Estados 29

30 EXERCÍCIOS FF T Preencha o Diagrama de Estados 30

31 APLICAÇÕES MEMÓRIA (REGISTRADORES) 31

32 REGISTRADORES Componente essencial de todo computador. Armazena tanto dados como instruções a serem executadas pelo processador. Algumas memórias RAM, cache e registradores são construídos com flip-flops. Registradores São formados por vários flip-flops. 8 bits 8 flip-flops. 16 bits 16 flip-flops 32

33 APLICAÇÕES CONTADORES 33

34 CONTADORES

35 Contador Assíncrono Crescente Módulo 8

36 Contador Assíncrono Decrescente Módulo 8

37 MEMÓRIA PRINCIPAL RELEMBRANDO... FLIP-FLOP SR 37

38 MEMÓRIA PRINCIPAL Sinal de seleção (select) = 1 (seleciona) = 0 (não seleciona) Entrada (Input) Valor a ser escrito Saída (output) Sinal de habilitação da escrita (write) =1 (escreve entrada ) =0 (apenas lê entrada)

39 MEMÓRIA PRINCIPAL MEMÓRIA DO TIPO SELEÇÃO LINEAR CÉLULA BÁSICA DE MEMÓRIA COM 01 BIT (escrevendo valor 1) SELECT = 1 1 Q 1 ENTRADA = 1 Habilita a Escrita (W) do valor de entrada WRITE = 1 0 /Q 1 1 SET 39

40 MEMÓRIA PRINCIPAL MEMÓRIA DO TIPO SELEÇÃO LINEAR CÉLULA BÁSICA DE MEMÓRIA COM 01 BIT (escrevendo valor 0) SELECT = 1 0 Q 1 ENTRADA = 0 Habilita a Escrita (W) do valor de entrada WRITE = 1 1 /Q 0 0 RESET 40

41 MEMÓRIA PRINCIPAL MEMÓRIA DO TIPO SELEÇÃO LINEAR CÉLULA BÁSICA DE MEMÓRIA COM 01 BIT (manter o valor atual) SELEÇÃO = 1 0 Q 1 WRITE = 0 (ESCRITA NÃO HABILITADA) 0 /Q 0 VALOR MANTIDO 41

42 MEMÓRIA PRINCIPAL MEMÓRIA DO TIPO SELEÇÃO LINEAR (célula com 12 bits) 3 bits 3 bits 3 bits 3 bits 42

43 Contador Assíncrono Decrescente Módulo 8 10 bits 1024 células Endereço a ser acessado na memória

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br Circuitos Digitais Contadores Orivaldo Santana Jr. ovsj@cin.ufpe.br Roteiro Introdução Contadores Assíncronos Crescentes Contadores Assíncronos Decrescentes Contador Assíncrono Crescente/Decrescente Introdução

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Circuitos Digitais Rodrigo Hausen CMCC UFABC 4 e 6 de março de 2013 http://compscinet.org/circuitos Rodrigo Hausen (CMCC UFABC) Aula 11: Blocos

Leia mais

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de FLIP-FLOPS FLOPS INTRODUÇÃO Os circuitos anteriormente estudados são chamados de circuitos combinacionais porque os níveis n lógicos l de saída dependem apenas dos níveis n lógicos l nas entradas. (os

Leia mais

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização Hardware: Componentes Básicos Arquitetura dos Computadores Dispositivos de Entrada Processamento Dispositivos de Saída Armazenamento Marco Antonio Montebello Júnior marco.antonio@aes.edu.br Sistema de

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A FLIP FLOPS M-1113A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Objetivos... 2 2.

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

ARQUITETURA DE COMPUTADORES. Professor: Clayton Rodrigues da Siva

ARQUITETURA DE COMPUTADORES. Professor: Clayton Rodrigues da Siva ARQUITETURA DE COMPUTADORES Professor: Clayton Rodrigues da Siva OBJETIVO DA AULA Objetivo: Conhecer a estrutura da arquitetura da Máquina de Von Neumann. Saber quais as funcionalidades de cada componente

Leia mais

A Figura 1 mostra uma organização do NEANDER com uma UAL de 6 funções. Figura 1: Organização para o NEANDER.

A Figura 1 mostra uma organização do NEANDER com uma UAL de 6 funções. Figura 1: Organização para o NEANDER. Disciplina: Arquitetura de Computadores Turma: 3CC Data: 18/12/12 Nota: Professor: Renato E. N. de Moraes Semestre: 2012-2 Valor: 2,0 pt Aluno: Trabalho 01 - Projeto Neander O computador NEANDER foi criado

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS

Leia mais

Contadores. Contadores Assíncronos Crescentes

Contadores. Contadores Assíncronos Crescentes Contadores Variam seus estados sob o comando de um clock; São utilizados para: Contagens diversas; Divisão de frequência; Medição de frequência e tempo; Geração de formas de onda; Conversão analógico para

Leia mais

Organização e Arquitetura de Computadores. Ivan Saraiva Silva

Organização e Arquitetura de Computadores. Ivan Saraiva Silva Organização e Arquitetura de Computadores Hierarquia de Memória Ivan Saraiva Silva Hierarquia de Memória A Organização de Memória em um computador é feita de forma hierárquica Registradores, Cache Memória

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 546 Aula 4-T 4 Máquinas Seqüenciais

Leia mais

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1 Conteúdo Página Operações Lógicas Binárias: AND, OR...2 Operações Lógicas Binárias: OR Exclusivo (XOR)...3 Contatos Normalmente Abertos e Normalmente Fechados. Sensores e Símbolos... 4 Exercício...5 Resultado

Leia mais

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado Slide 1 Flip-flop NOR SR cruzado Flip-Flops Reiniciar Configurar Reiniciar Configurar Reter Não usado Flip-flop NAND SR cruzado Reiniciar Configurar Reiniciar Configurar Reter Não usado 6.071 Lógica Digital

Leia mais

Apostila para Eletrônica ELETRÔNICA DIGITAL I

Apostila para Eletrônica ELETRÔNICA DIGITAL I Apostila para Eletrônica ELETRÔNICA DIGITAL I Prof. Reinaldo Bolsoni Eletrônica Digital I 1/37 ÍNDICE 1 - SISTEMA NUMÉRICO... 3 1.1 - SISTEMA BINÁRIO...3 Conversão Decimal para Binário...4 Conversão Binário

Leia mais

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL. CAPÍTULO 3 CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.. Introdução : O uso dos F/Fs nos permite uma série de aplicações, tais como, memórias, contadores,

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Evolução e Desempenho dos Computadores Slide 1 Conceitos Arquitetura do Computador Refere-se aos atributos que são visíveis para o programador. Ex: conjunto

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

Aula 03. Processadores. Prof. Ricardo Palma

Aula 03. Processadores. Prof. Ricardo Palma Aula 03 Processadores Prof. Ricardo Palma Definição O processador é a parte mais fundamental para o funcionamento de um computador. Processadores são circuitos digitais que realizam operações como: cópia

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Set/10 1 Definição São blocos que armazenam informações codificadas digitalmente números, letras, caracteres quaisquer, comandos de operações, endereços

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas. Prof. Dr. Fabian Vargas Índice 1. Portas Lógicas 1.1 Introdução 1.2 Diagramas de Tempo 1.3 Análise Booleana de Circuitos Lógicos Básicos 2. Circuitos Combinacionais 2.1. Introdução aos Circuitos Combinacionais

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Controlador de DMA. Gustavo G. Parma

Controlador de DMA. Gustavo G. Parma Controlador de DMA Gustavo G. Parma Controlador de DMA Transferência de um dado de um dispositivo I/O para a memória Iniciada pela CPU Transferência efetuada e terminada pelo controlador de DMA CPU pode

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

Análise e Projeto de Contadores Síncronos. Circuitos Lógicos Prof. Daniel D. Silveira

Análise e Projeto de Contadores Síncronos. Circuitos Lógicos Prof. Daniel D. Silveira Circuitos Lógicos Análise e Projeto de Contadores Síncronos Prof.: Daniel D. Silveira 1 Análise de contadores síncronos Circuitos de contadores síncronos podem ser projetados para gerar qualquer seqüência

Leia mais

Programmable Interval Timer

Programmable Interval Timer Programmable Interval Timer 8253/8254 Walter Fetter Lages w.fetter@ieee.org Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Engenharia Elétrica Microprocessadores II Copyright

Leia mais

- SISTEMAS DIGITAIS II

- SISTEMAS DIGITAIS II FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a

Leia mais

CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO

CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO O seguinte artigo apresenta uma UCP hipotética construída no software simulador DEMOWARE Digital Works 3.04.39. A UCP (Unidade Central de Processamento)

Leia mais

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO ESCOLA SECUNDÁRIA JOÃO GONÇALVES ZARCO-402011 CURSO PROFISSIONAL TÉCNICO DE GESTÃO DE EQUIPAMENTOS INFORMÁTICOS SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º 12 PLANIFICAÇÃO MODULAR MÓDULO N.º 1

Leia mais

Colégio Metrópole. Curso Técnico Instrumentação e Automação Industrial

Colégio Metrópole. Curso Técnico Instrumentação e Automação Industrial Colégio Metrópole Curso Técnico Instrumentação e Automação Industrial Eletrônica Digital Professor: David Maciel 1 Colégio Metrópole VIASHOPPING BARREIRO - 4º Piso Inverno Tel.: 3322-8941 e-mail: metropole@colegiometropole.com.br

Leia mais

Portas lógicas e Circuitos. Marcos Monteiro, MBA

Portas lógicas e Circuitos. Marcos Monteiro, MBA Portas lógicas e Circuitos Marcos Monteiro, MBA Cultura Inútil Em 1854, o matemático britânico George Boole (1815 1864), através da obra intitulada An Investigation of the Laws of Thought (Uma Investigação

Leia mais

Arquitetura e Organização de Computadores I

Arquitetura e Organização de Computadores I Arquitetura e Organização de Computadores I Interrupções e Estrutura de Interconexão Prof. Material adaptado e traduzido de: STALLINGS, William. Arquitetura e Organização de Computadores. 5ª edição Interrupções

Leia mais

Estrutura de um Computador

Estrutura de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura de um Computador Aula 7 Prof. Dr. Marcelo Andrade da Costa Vieira MODELO DE VON NEUMANN PRINCÍPIOS A arquitetura de um computador consiste de

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

Microprocessadores. Memórias

Microprocessadores. Memórias s António M. Gonçalves Pinheiro Departamento de Física Covilhã - Portugal pinheiro@ubi.pt Arquitectura de Microcomputador Modelo de Von Neumann Barramento de Endereços µprocessador Entrada/Saída Barramento

Leia mais

Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios

Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios Comandos de Eletropneumática Exercícios Comentados para Elaboração, Montagem e Ensaios O Método Intuitivo de elaboração de circuitos: As técnicas de elaboração de circuitos eletropneumáticos fazem parte

Leia mais

ORGANIZACÃO DE COMPUTADORES PROCESSADORES CHIPS TRANSISTORES

ORGANIZACÃO DE COMPUTADORES PROCESSADORES CHIPS TRANSISTORES PROCESSADORES CHIPS TRANSISTORES O que é um chip? - conhecido como circuito integrado; - pequeno e fino pedaço de silício no qual os transistores, que formam o microprocessador, foram encapsulados; - processadores

Leia mais

Exercícios de Circuitos Combinacionais

Exercícios de Circuitos Combinacionais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios de Circuitos Combinacionais FONTE: ENADE 2005 e 2008 Exercício 1 2 João, ao tentar consertar o módulo eletrônico de um carrinho de brinquedos, levantou

Leia mais

Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1

Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1 UNIVERSIDADE FEDERAL DE SÃO CARLOS CENTRO DE CIÊNCIAS EXATAS E TECNOLOGIA (CCET) DEPARTAMENTO DE COMPUTAÇÃO Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1

Leia mais

Armazenar dados e programas que serão utilizados pelo processador (CPU Unidade Central de Processamento)

Armazenar dados e programas que serão utilizados pelo processador (CPU Unidade Central de Processamento) Armazenar dados e programas que serão utilizados pelo processador (CPU Unidade Central de Processamento) Tempo de acesso: maior que o tempo de acesso da cache, 50 a 70 ns; Capacidade: bem maior que a cache;

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

SISTEMAS OPERACIONAIS. 3ª. Lista de Exercícios

SISTEMAS OPERACIONAIS. 3ª. Lista de Exercícios SISTEMAS OPERACIONAIS INF09344 - Sistemas Operacionais / INF02780 - Sistemas Operacionais / INF02828 - Sistemas de Programação II Prof a. Roberta Lima Gomes (soufes@gmail.com) 3ª. Lista de Exercícios Data

Leia mais

Registradores de uma CPU. Registradores de uma CPU - Acumulador

Registradores de uma CPU. Registradores de uma CPU - Acumulador Prof. Eduardo ppel Eng.DSc. appel@upf.tche.br Denominação dos registradores Função dos registradores Características dos registradores Utilização dos registradores - cumulador Um registrador é um local

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Componentes do Computador e. aula 3. Profa. Débora Matos

Componentes do Computador e. aula 3. Profa. Débora Matos Componentes do Computador e modelo de Von Neumann aula 3 Profa. Débora Matos O que difere nos componentes que constituem um computador? Princípios básicos Cada computador tem um conjunto de operações e

Leia mais

Descrição de circuitos algebricamente, álgebra de Boole e circuitos lógicos, teorema de boole e De Morgan. Simplificação e projeto.

Descrição de circuitos algebricamente, álgebra de Boole e circuitos lógicos, teorema de boole e De Morgan. Simplificação e projeto. EMENTA: Ferramentas para simulação e projeto de sistemas digitais. Equipamentos e componentes para montagem de sistemas digitais. Equipamentos para mensuração e teste na implementação de sistemas digitais.

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008.

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. 4 a LISTA DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Prof. Alessandro Jacoud Peixoto 1. Suponha

Leia mais

Processamento de Dados aplicado à Geociências. AULA 1: Introdução à Arquitetura de Computadores

Processamento de Dados aplicado à Geociências. AULA 1: Introdução à Arquitetura de Computadores 1 Processamento de Dados aplicado à Geociências AULA 1: Introdução à Arquitetura de Computadores UNIVERSIDADE FEDERAL DE PELOTAS CENTRO DE DESENVOLVIMENTO TECNOLÓGICO CURSO SUPERIOR DE TECNOLOGIA EM GEOPROCESSAMENTO

Leia mais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Conceitos sobre Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 7.1, 7.2, 7.4, 7.5, 7.12, 9.2, DDPP, Wakerly

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula

Leia mais

Sistemas Operacionais. Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br. www.fgp.com.br

Sistemas Operacionais. Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br. www.fgp.com.br Sistemas Operacionais Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br Tipos de Sistemas Operacionais De Sistemas Embarcados (PalmOS,WinCE,WinXPEmbbeded,Linux) Hardware simples, especifico

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

LÓGICA SEQÜENCIAL Prof. Corradi www.corradi.junior.nom.br

LÓGICA SEQÜENCIAL Prof. Corradi www.corradi.junior.nom.br LÓGICA SEQÜENCIAL Prof. Corradi www.corradi.junior.nom.br SUMÁRIO Página 1 A NECESSIDADE DA MEMÓRIA OU ESTADO SEQÜENCIAL... 02 1.1 Conceito de memória... 02 1.2 Impacto da memória seqüencial no desempenho

Leia mais

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação PROGRAMA DA DISCIPLINA 1 2 Curso: Engenharia Elétrica Código: 20 3 4 Modalidade(s): Bacharelado Currículo(s): 2005/1 5 Turno(s):

Leia mais

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:

Leia mais

CRONÔMETRO DIGITAL PROJETO

CRONÔMETRO DIGITAL PROJETO CRONÔMETRO DIGITAL PROJETO OBJETIVOS: a) Verificação do funcionamento dos contadores; b) Aplicabilidade de circuitos contadores; c) Verificação do funcionamento de um cronômetro digital. INTRODUÇÃO TEÓRICA

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16 Introdução aos Computadores Introdução à Ciência de Computadores Nelma Moreira Departamento de Ciência de Computadores da FCUP Outubro 2011 Introdução aos Computadores Introdução à Ciência de Computadores

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

Sistemas Computacionais e Hardware. Disciplina: Informática Prof. Higor Morais

Sistemas Computacionais e Hardware. Disciplina: Informática Prof. Higor Morais Sistemas Computacionais e Hardware Disciplina: Informática Prof. Higor Morais 1 Agenda Sistema Computacional O Computador e seus componentes Hardware 2 Unidade de entrada Unidade de saída Unidade de Processamento

Leia mais

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q Memorias Flip-Flop básico (Assíncrono) Tabela da verdade A preencher Flip-Flop básico (Assíncrono) Tabela da verdade esumindo: A = A = A = = = A X = X não permitido X não permitido Flip-Flops íncrono Com

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO Caderno Didático - Disciplina de Sistemas Digitais A Prof. Dr. José Renes Pinheiro Colaboradores: José Eduardo

Leia mais

Período: 4º Disciplina: Técnicas e Sistemas Digitais

Período: 4º Disciplina: Técnicas e Sistemas Digitais Período: 4º Disciplina: Técnicas e Sistemas Digitais Carga Horária Semestral: 60 h/a Carga Horária Semanal: 3 h/a Núcleo Profissionalizante Pré-requisito: Não há Correquisito: Não há Disciplina Obrigatória

Leia mais

Oganização e Arquitetura de Computadores

Oganização e Arquitetura de Computadores Oganização e Arquitetura de Computadores Capítulo 14 e 15 Unidade de Controle Parte I Operação da Unidade de Controle 1 Micro-Operações Um computador executa um programa Ciclo: Busca/Executa Cada ciclo

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS Código BCD; Comparação entre BCD e Binário; Circuitos Digitais para BCD; Código Gray; Código ASCII; Detecção de erros pelo método de Paridade O que é um Código?

Leia mais

Fundamentos de Programação. Diagrama de blocos

Fundamentos de Programação. Diagrama de blocos Fundamentos de Programação Diagrama de blocos Prof. M.Sc.: João Paulo Q. dos Santos E-mail: joao.queiroz@ifrn.edu.br Página: http://docente.ifrn.edu.br/joaoqueiroz/ O processo de desenvolvimento (programação),

Leia mais

Arquitectura de Computadores (I)

Arquitectura de Computadores (I) epartamento de iências e Tecnologias da Informação Arquitectura de omputadores (I) Textos de apoio ircuitos Sequenciais raft Versão.2b Novembro de 29 Tomás Brandão. Índice. LATHES...5.. LATH SR...5.2.

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais