Circuitos sequenciais elementares

Tamanho: px
Começar a partir da página:

Download "Circuitos sequenciais elementares"

Transcrição

1 Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas sequenciais síncronos Elementos de memória Registos e contadores Especificação de circuitos sequenciais em Verilog ArqComp: Circuitos sequenciais elementares 2/

2 Sistemas sequenciais síncronos Elementos de memória Registos e contadores Especificação de circuitos sequenciais em Verilog ArqComp: Circuitos sequenciais elementares 3/

3 efinição de circuito sequencial Circuito combinatório: o valor da saída depende apenas dos valores actuais das entradas. Circuito sequencial: o valor da saída depende dos valores actuais e de todos os valores anteriores das entradas. Estado de um circuito: conjunto de variáveis de estado que, em cada momento, contêm informação suficiente sobre o passado para permitirem a determinação do comportamento futuro (em conjunto com os valores da entrada). Em circuitos digitais, as variáveis de estado são binárias: um circuito com n variáveis de estado pode ter até 2 n estados. O valor de uma variável de estado é preservado num elemento de memória. Nos circuitos digitais síncronos, as mudanças de estado ocorrem em instantes de tempo determinados por um sinal periódico: o sinal de relógio. Um sistema síncrono pode reagir apenas aos flancos do sinal de relógio (p. ex. ao flanco ascendente) ou pode ser sensível ao nível do sinal de relógio. (Usaremos exclusivamente a primeira abordagem.) ArqComp: Circuitos sequenciais elementares 4/

4 Sinal de relógio Sinal de relógio típico (para um sistema activo ao flanco ascendente): mudanças de estado ocorrem aqui th T tl Período T : intervalo de repetição Frequência F : F = 1/T (Unidade: Hz = 1/s) T = t H + t L ArqComp: Circuitos sequenciais elementares 5/

5 Organização geral de um sistema sequencial síncrono Elemento de estado 1 Circuito combinatório Elemento de estado 2 O flanco de relógio determina quando é que os elementos de memória são modificados. O período de relógio deve ser longo o suficiente para a saída da lógica combinatória atingir o seu valor final (estabilizar). Elemento de estado 1 Circuito combinatório Num sistema sensível ao flanco (como todos os que usaremos) o valor de um elemento de memória pode ser usado para calcular o próximo valor desse mesmo elemento. A alteração só tem efeito no flanco activo seguinte. ArqComp: Circuitos sequenciais elementares 6/

6 Regulação do sinal de relógio tempo de setup: período de tempo, anterior ao flanco activo do sinal de relógio, em que a entrada de um elemento de memória deve permanecer inalterada [preparação]. tempo de hold: período de tempo, posterior ao flanco activo do sinal de relógio, em que a entrada de um elemento de memória deve permanecer inalterada [permanência]. tempo de propagação t pm : tempo (máximo) que o elemento de memória demora a reagir ao flanco activo do relógio. tempo de propagação t pc : tempo (máximo) que a saída do circuito combinatório leva a atingir o valor final. O valor mínimo para o período do sinal de relógio é: T t setup + t pm + t pc ArqComp: Circuitos sequenciais elementares 7/

7 Regulação do sinal de relógio: gráficos t pm t pc Elemento de Circuito combinatório estado 1 A B C Elemento de estado 2 t setup relógio t hold A t pm B t pc C ArqComp: Circuitos sequenciais elementares 8/

8 Sistemas sequenciais síncronos Elementos de memória Registos e contadores Especificação de circuitos sequenciais em Verilog ArqComp: Circuitos sequenciais elementares 9/

9 Realimentação positiva Uma forma de preservar valores lógicos baseia-se na utilização de realimentação positiva (i. e., que reforça o estado actual). O elemento de memória tem dois estados: elemento bi-estável. O elemento bi-estável mais simples: N 1 N 2 Mas não tem entradas... ArqComp: Circuitos sequenciais elementares 10/

10 O trinco tipo Clk Clk / x anterior / anterior Clk=1: modo transparente Clk=0: modo de retenção ArqComp: Circuitos sequenciais elementares 11/

11 O trinco tipo : formas de onda C ArqComp: Circuitos sequenciais elementares 12/

12 A báscula tipo L 1 L 2 Clk Clk Clk Báscula = flip-flop Clk / x 0 anterior / anterior x 1 anterior / anterior ArqComp: Circuitos sequenciais elementares 13/

13 A báscula tipo : formas de onda Clk ArqComp: Circuitos sequenciais elementares 14/

14 A báscula tipo T A báscula tipo T troca de estado a cada ciclo de relógio. T T CK Por vezes, é útil ter uma entrada de habilitação (enable). O circuito só muda de estado quando essa entrada está activa. EN EN T T CK ArqComp: Circuitos sequenciais elementares 15/

15 A báscula tipo T: formas de onda Báscula T sem entrada de enable T Báscula T com entrada de enable T EN ArqComp: Circuitos sequenciais elementares 16/

16 Sistemas sequenciais síncronos Elementos de memória Registos e contadores Especificação de circuitos sequenciais em Verilog ArqComp: Circuitos sequenciais elementares 17/

17 Registos e bancos de registos Registo: grupo de n elementos de memória, que são acedidos como uma única entidade. Banco de registos: conjunto de registos (de capacidade idêntica), em que cada registo individual pode ser selecionado pelo seu número de ordem (0, 1,... ). Implementação de um registo: Out3 Out2 Out1 Out0 FF3 FF2 FF1 FF0 CK CK CK CK Clk In3 In2 In1 In0 ArqComp: Circuitos sequenciais elementares 18/

18 Banco de registos multiporto Read register number 1 Read register number 2 Read data 1 Write register Write data Register file Write Read data 2 ArqComp: Circuitos sequenciais elementares 19/

19 Banco de registos: leitura Read register number 1 Register 0 Register 1... Register n Ð 2 M u x Read data 1 Register n Ð 1 Read register number 2 M u Read data 2 x ArqComp: Circuitos sequenciais elementares 20/

20 Banco de registos: escrita Write 0 1 C Register 0 Register number n-to-2 n decoder. C n Ð 1 Register 1 n. C Register nð2 C Register nð1 Register data ArqComp: Circuitos sequenciais elementares 21/

21 Contador do tipo ripple-counter Implementação: Clk T T0 T T1 T T2 T T3 Saída: número de quatro bits: Contador muito lento: na pior situação (mudança do bit mais significativo) um contador de n bits demora n T pt a reagir ao flanco activo. [T pt é o tempo de propagação da entrada T para a saída.] ArqComp: Circuitos sequenciais elementares 22/

22 Contador binário síncrono CntEN EN EN EN EN T0 T1 T2 T3 Clk T T T T Nesta versão, todos os flip-flops comutam simultaneamente (em T pt segundos). Entre flancos sucessivos, o sinal de habilitação deve propagar-se ao longa da cadeia de portas AN. ArqComp: Circuitos sequenciais elementares 23/

23 Sistemas sequenciais síncronos Elementos de memória Registos e contadores Especificação de circuitos sequenciais em Verilog ArqComp: Circuitos sequenciais elementares 24/

24 Verilog: Eventos Para descrever sistemas síncronos, é preciso especificar o evento de sincronização na lista de eventos do(s) bloco(s) always. Exemplo: module sincrono(clk,...); input clk;... clk) /* operações a executar sincronamente */... endmodule Para circuito activo ao flanco descendente: negedge clk Como os valores devem permancer constantes entre a ocorrência dos flancos, devem usar-se variáveis do tipo reg na descrição das operações. ArqComp: Circuitos sequenciais elementares 25/

25 Atribuição bloqueante Tarefa: trocar de posição os dois bytes de uma palavra de 16 bits. Estes exemplos não funcionam correctamente: module m1(clk, palavra,...); input clk; output [15:0] palavra; reg [15:0] palavra;... clk) begin palavra[15:8]=palavra[7:0]; palavra[7:0]=palavra[15:8]; end... endmodule module m1(clk, palavra,...); input clk; output [15:0] palavra; reg [15:0] palavra;... clk) begin palavra[7:0]=palavra[15:8]; palavra[15:8]=palavra[7:0]; end... endmodule Atribuições são executadas por ordem, em um só passo. ArqComp: Circuitos sequenciais elementares 26/

26 Atribuição não-bloqueante Ambos os exemplos funcionam correctamente: module m1(clk, palavra,...); input clk; output [15:0] palavra; reg [15:0] palavra;... clk) begin palavra[15:8]<=palavra[7:0]; palavra[7:0]<=palavra[15:8]; end... endmodule module m1(clk, palavra,...); input clk; output [15:0] palavra; reg [15:0] palavra;... clk) begin palavra[7:0]<=palavra[15:8]; palavra[15:8]<=palavra[7:0]; end... endmodule Atribuições simultâneas são executadas em dois passos: 1. avaliação de todas as expressões (lado direito); 2. atribuições só são executadas após todas as avalições. Ordem das atribuições é irrelevante. Na especificação de sistemas síncronos, usa-se quase sempre a atribuição não-bloqueante. ArqComp: Circuitos sequenciais elementares 27/

27 Verilog: Báscula tipo Uma báscula tipo Um registo de 8 bits com reset module flop (Clk,, ); input Clk; input ; output ; reg ; Clk) <= ; endmodule module registo (Clk, Rst,, ); input Clk, Rst; input [7:0] ; output[7:0] ; reg [7:0] ; Clk) if (Rst) <= 0; else <= ; endmodule ArqComp: Circuitos sequenciais elementares 28/

28 Verilog: Outros elementos de memória Trinco tipo : Flip-flop tipo T com reset: module trinco (C,, ); input C, ; output ; reg ; or ) begin if (C) <= ; end endmodule module tff (Clk, Rst, ); input Clk, Rst; output ; reg ; clk) if (Rst) <= 0; else <= ~; endmodule ArqComp: Circuitos sequenciais elementares 29/

29 Verilog: Banco de registos module bancoregs (Read1, Read2, WriteReg, Writeata, RegWrite, ata1, ata2, clock); input [4:0] Read1, Read2, WriteReg; input [31:0] Writeata; input RegWrite, clock; output [31:0] ata1, ata2; reg [31:0] RF [31:0]; // 32 registos de 32 bits assign ata1 = RF[Read1]; assign ata2 = RF[Read2]; // leitura clock) // escrita síncrona if (RegWrite) RF[WriteReg] <= Writeata; endmodule ArqComp: Circuitos sequenciais elementares 30/

30 Exemplo: Simulação de um contador de 3 bits Modelo estrutural de um contador ripple-carry: Bancada de teste: module contador3(clk, rst, dados); input clk, rst; output [2:0] dados; wire tmp0, tmp1; assign tmp0 = ~dados[0]; assign tmp1 = ~dados[1]; tff ff0(clk, rst, dados[0]); tff ff1(tmp0, rst, dados[1]); tff ff3(tmp1, rst, dados[2]); endmodule; module topo; reg relogio; reg reset; wire [2:0] contagem; contador3 cont(relogio, reset, contagem); initial begin // executa 1 vez reset = 1; relogio = 0; #5 reset = 0; #100 $stop; end always // período 20 #10 relogio = ~relogio; endmodule ArqComp: Circuitos sequenciais elementares 31/

31 Elementos de consulta [Patterson & Hennessy]: Apêndice B, secções B.7, B.8 (C) [elgado & Ribeiro]: Secções [Arroz, Monteiro & Oliveira]: Secções ArqComp: Circuitos sequenciais elementares 32/

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Introdução às máquinas de estado finitas

Introdução às máquinas de estado finitas Introdução às máquinas de estado finitas João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

Básculas, Flip-Flops e Debouncing

Básculas, Flip-Flops e Debouncing Trabalho Prático n o 6 Componentes Sequenciais SSI Básculas, Flip-Flops e Debouncing 1 Introdução Este trabalho tem como objectivo: introduzir os rudimentos de circuitos sequenciais em projectos digitais,

Leia mais

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL vs. VHDL Verilog HDL é mais

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

Contadores. Contadores Assíncronos Crescentes

Contadores. Contadores Assíncronos Crescentes Contadores Variam seus estados sob o comando de um clock; São utilizados para: Contagens diversas; Divisão de frequência; Medição de frequência e tempo; Geração de formas de onda; Conversão analógico para

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Sistemas Digitais Contadores. João Paulo Carvalho

Sistemas Digitais Contadores. João Paulo Carvalho Sistemas Digitais Contadores João Paulo Carvalho Contadores Assíncronos Um contador binário de 3 bits é um circuito que evolui controladamente ao longo da seguinte sequência (de contagem): Sequência de

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HL Inferência de elementos de memória Prof. Rodrigo de Paula Rodrigues Inferência de elementos de memória Forma direta J

Leia mais

Controlador de Interrupções com Prioridades Rotativas Julho de 2001

Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Trabalho realizado por: Filipe Moreira Ricardo Almeida Controlador de Interrupções com Prioridades Rotativas... 1 Introdução... 1 Descrição

Leia mais

Mestrado em Engenharia Electrotécnica e de Computadores

Mestrado em Engenharia Electrotécnica e de Computadores Mestrado em Engenharia Electrotécnica e de Computadores Projecto de Circuitos e Sistemas Digitais (2000/01) Gerador digital de tons DTMF (Dual-Tone Multi-Frequency) para codificação de dígitos na linha

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

Circuitos Lógicos Registradores e Contadores

Circuitos Lógicos Registradores e Contadores Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de FLIP-FLOPS FLOPS INTRODUÇÃO Os circuitos anteriormente estudados são chamados de circuitos combinacionais porque os níveis n lógicos l de saída dependem apenas dos níveis n lógicos l nas entradas. (os

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS

Leia mais

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Máquina de Estados Transições Estados Em Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (rad) O que é? É uma modelagem de um comportamento, composto por estados, transições

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 546 Aula 4-T 4 Máquinas Seqüenciais

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica ELT6 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Máquinas de estados finitos Prof. Rodrigo de Paula Rodrigues ircuitos sequenciais Q Q Q Q

Leia mais

SISTEMAS DIGITAIS CONTADORES

SISTEMAS DIGITAIS CONTADORES CONTADORES Setembro de 0 CONTADORES - 2 SUMÁRIO: CONTADORES SÍNCRONOS CONTADORES DE MÓDULO 2 N PROJECTO DE CONTADORES FREQUÊNCIA MÁXIMA DE FUNCIONAMENTO SITUAÇÃO DE LOCKOUT SIMBOLOGIA CONTADOR EM ANEL

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

EEC2104 Microprocessadores

EEC2104 Microprocessadores EEC2104 Microprocessadores Edição 2005/2006 Apresentação da disciplina Missão e Objectivos Ganhar competências que permitam desenvolver aplicações baseadas em microprocessadores (MPs) e microcontroladores

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Tecnologia dos Computadores 2002/2003 Trabalho Prático n o 7. Projectos Sequenciais SSI. Contadores Ripple

Tecnologia dos Computadores 2002/2003 Trabalho Prático n o 7. Projectos Sequenciais SSI. Contadores Ripple Trabalho Prático n o 7 Projectos Sequenciais SSI Contadores Ripple 1 Introdução Este trabalho tem como objectivo: introduzir a prática de projectos sequenciais SSI; introduzir os princípios de projecto

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Trabalho Prático Nº2 Escrita e Leitura em Portos IO

Trabalho Prático Nº2 Escrita e Leitura em Portos IO Trabalho Prático Nº2 Escrita e Leitura em Portos IO 1. OBJECTIVOS - Saber efectuar a escrita e leitura em portos de entrada saída num PC num com operativo DOS e Windows. 2. INTRODUÇÃO Para este trabalho

Leia mais

18. Temporizadores/contadores... 18 2 18.1 TC (Timer/Counter)... 18 2 18.1.1 Especificação... 18 2 18.1.2 Diagrama de blocos... 18 4 18.1.

18. Temporizadores/contadores... 18 2 18.1 TC (Timer/Counter)... 18 2 18.1.1 Especificação... 18 2 18.1.2 Diagrama de blocos... 18 4 18.1. 18. Temporizadores/contadores... 18 2 18.1 TC (Timer/Counter)... 18 2 18.1.1 Especificação... 18 2 18.1.2 Diagrama de blocos... 18 4 18.1.3 Exercícios... 18 5 18. TEMPORIZADORES/CONTADORES Uma funcionalidade

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Tutorial Verilog 1ª Edição

Tutorial Verilog 1ª Edição UFPE Universidade Federal de Pernambuco CIn Centro de Informática Tutorial Verilog 1ª Edição 2011.1 Felipe de Assis Souza (fas5) INTRODUÇÃO Resolvi elaborar este documento após pagar a cadeira de Sistemas

Leia mais

Aula 19. Conversão AD e DA Técnicas

Aula 19. Conversão AD e DA Técnicas Aula 19 Conversão AD e DA Técnicas Introdução As características mais importantes dos conversores AD e DA são o tempo de conversão, a taxa de conversão, que indicam quantas vezes o sinal analógico ou digital

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Controladores Lógicos Programáveis

Controladores Lógicos Programáveis Controladores Lógicos Programáveis Diagramas de Blocos Diagramas de Escada Grafcet Exercícios de Programação Autómato da Siemens Laboratórios Integrados III Departamento de Electrónica Industrial e de

Leia mais

Acetatos de apoio às aulas teóricas

Acetatos de apoio às aulas teóricas Microprocessadores e Aplicações Acetatos de apoio às aulas teóricas Ana Cristina Lopes Dep. Engenharia Electrotécnica http://orion.ipt.pt anacris@ipt.pt Ana Cristina Lopes, 24 de Outubro de 2004 Microprocessadores

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE : 6823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE : 6823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 1 LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE : 6823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 O Sistema HCS 2000 é composto por: PROTOCOLO DE COMUNICAÇÃO SISTEMA HCS 2000 v6.x Receptores: dispositivos

Leia mais

Primeiros "computadores" digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna

Primeiros computadores digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna Execução de um O executa um Quais os seus componentes? Como estes se organizam e interactuam? entrada de dados processador, memória, input bits periféricos,etc bits saída de dados output Primeiros "es"

Leia mais

17. Espaço de I/O (entrada/saída)... 17 2 17.1 Portos paralelos de entrada e saída... 17 2 17.1.1 Porto paralelo de saída... 17 2 17.1.

17. Espaço de I/O (entrada/saída)... 17 2 17.1 Portos paralelos de entrada e saída... 17 2 17.1.1 Porto paralelo de saída... 17 2 17.1. 17. Espaço de I/O (entrada/saída)... 17 2 17.1 Portos paralelos de entrada e saída... 17 2 17.1.1 Porto paralelo de saída... 17 2 17.1.2 Porto paralelo de entrada... 17 3 17.1.3 Exercício... 17 3 17.2

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Entradas/Saídas. Programação por espera activa Programação por interrupções

Entradas/Saídas. Programação por espera activa Programação por interrupções Entradas/Saídas Programação por espera activa Programação por interrupções Programação por espera activa 1. O programa lê o estado do periférico: CPU pede ao controlador (IN) o valor no registo ESTADO

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Experimento 11 - Contador síncrono

Experimento 11 - Contador síncrono Circuitos Digitais Rafael S. Sardenberg 10/0019706 Objetivo Experimento 11 - Contador síncrono O objetivo deste experimento é estudar os contadores síncronos e as formas de síntese desses circuitos utilizando

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz.

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz. SISTEMAS DIGITAIS Memórias Alterado para lógica positiva por Guilherme Arroz Sistemas Digitais 1 Tipos de memórias Existem vários tipos de memórias em sistemas digitais As memórias internas dos dispositivos,

Leia mais

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

ANÁLISE DE CIRCUITOS LABORATÓRIO O CONDENSADOR

ANÁLISE DE CIRCUITOS LABORATÓRIO O CONDENSADOR ANÁLISE DE CIRCUITOS LABORATÓRIO O CONDENSADOR Introdução ao uso do Osciloscópio. Ano Lectivo 20 / 20 Curso Grupo Classif. Rubrica Além do estudo do condensador, pretende-se com este trabalho obter uma

Leia mais

MEMÓRIA M.1 - HIERARQUIA DE MEMÓRIAS NUM COMPUTADOR DIGITAL

MEMÓRIA M.1 - HIERARQUIA DE MEMÓRIAS NUM COMPUTADOR DIGITAL MEMÓRIA M.1 - HIERARQUIA DE MEMÓRIAS NUM COMPUTADOR DIGITAL Quando se caminha no sentido da memória secundária encontramos memórias com maior capacidade, maior tempo de acesso e mais baixo custo/bit. Fig

Leia mais

5 Circuitos de Armazenamento

5 Circuitos de Armazenamento 5 ircuitos de Armazenamento Nos sistemas digitais, e em particular nos computadores, as informações estão representadas por conjuntos de dígitos binários denominados "palavras". Nos computadores atuais

Leia mais

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops Introdução Tipos Circuitos Integrados Introdução - Um Flip-flop é uma célula de memória, accionada por um dos flancos do relógio. - Em geral, os flip-flops aparecem com duas entradas independentes do sinal

Leia mais

Universidade Estadual do Ceará

Universidade Estadual do Ceará Universidade Estadual do Ceará Felipe de Almeida Xavier Joao Gonçalves Filho Trabalho Circuitos Lógicos Digitais Professor Domingos Sávio 20 de março de 2010 1 Sumário 1 Uma vista por cima 3 2 A macro

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Introdução à estrutura e funcionamento de um Sistema Informático

Introdução à estrutura e funcionamento de um Sistema Informático Introdução à estrutura e funcionamento de um Sistema Informático Elementos que constituem o Computador O funcionamento do computador é possível devido aos vários elementos interligados que o constituem:

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

ARQUITECTURA DO WINDOWS

ARQUITECTURA DO WINDOWS ARQUITECTURA DO WINDOWS MS DOS No sistema operativo MS-DOS, a BIOS (Basic Input Output System), disponibilizava os drivers para o hardware por meio de: Interrupções de software O próprio MS-DOS exportava

Leia mais

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 1 SISTEMA HCS 2005 PROTOCOLO DE COMUNICAÇÃO (Baseado no Guarita Vr5.0x Última modificação:

Leia mais

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR 19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO. Engenharia Electrotécnica. Microprocessadores. 2ºano - 1ºsemestre

INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO. Engenharia Electrotécnica. Microprocessadores. 2ºano - 1ºsemestre INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO Engenharia Electrotécnica Microprocessadores 2ºano - 1ºsemestre Microprocessador 8085 Exercícios de Programação em Linguagem

Leia mais

Desempenho de Computadores

Desempenho de Computadores Desempenho de Computadores João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3ª. ed., MKP Tópicos Os vários aspectos

Leia mais

- SISTEMAS DIGITAIS II

- SISTEMAS DIGITAIS II FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a

Leia mais

Conjunto de instruções do CPU. Arquitectura de um computador. Definição das instruções (1) Definição das instruções (2)

Conjunto de instruções do CPU. Arquitectura de um computador. Definição das instruções (1) Definição das instruções (2) Arquitectura de um computador Caracterizada por: Conjunto de instruções do processador (ISA Estrutura interna do processador (que registadores existem, etc Modelo de memória (dimensão endereçável, alcance

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Pipelining - analogia

Pipelining - analogia PIPELINE Pipelining - analogia Pipelining OBJECTIVO: Aumentar o desempenho pelo aumento do fluxo de instruções Program execution Time order (in instructions) lw $1, 100($0) Instruction fetch ALU Data access

Leia mais

Sistemas Multimédia. Ano lectivo 2006-2007. Aula 11 Conceitos básicos de Audio Digital. MIDI: Musical Instrument Digital Interface

Sistemas Multimédia. Ano lectivo 2006-2007. Aula 11 Conceitos básicos de Audio Digital. MIDI: Musical Instrument Digital Interface Sistemas Multimédia Ano lectivo 2006-2007 Aula 11 Conceitos básicos de Audio Digital Sumário Aúdio digital Digitalização de som O que é o som? Digitalização Teorema de Nyquist MIDI: Musical Instrument

Leia mais

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado Slide 1 Flip-flop NOR SR cruzado Flip-Flops Reiniciar Configurar Reiniciar Configurar Reter Não usado Flip-flop NAND SR cruzado Reiniciar Configurar Reiniciar Configurar Reter Não usado 6.071 Lógica Digital

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Conceitos sobre Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 7.1, 7.2, 7.4, 7.5, 7.12, 9.2, DDPP, Wakerly

Leia mais

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T.

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T. Sistemas Digitais, 2ª chamada 10/Jul/2002 (01101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 2ª chamada 10/Julho/2002 Duração: 2horas, sem consulta.

Leia mais

Técnicas de Computação Paralela Capítulo III Design de Algoritmos Paralelos

Técnicas de Computação Paralela Capítulo III Design de Algoritmos Paralelos Técnicas de Computação Paralela Capítulo III Design de Algoritmos Paralelos José Rogado jose.rogado@ulusofona.pt Universidade Lusófona Mestrado Eng.ª Informática e Sistemas de Informação 2013/14 Resumo

Leia mais