SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

Tamanho: px
Começar a partir da página:

Download "SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS"

Transcrição

1 CICUITO EUENCIAI BÁICO

2 CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO TEMPOAL METODOLOGIA DE INCONIZAÇÃO TEMPOAL

3 CICUITO EUENCIAI BÁICO - 3 CICUITO IMPLE COM EALIMENTAÇÃO inversores em cascata elemento básico de memória. Posso armazenar um 1 (ou um 0) para sempre, mas não posso alterar o valor. 1 1 A entrada permite forçar a 0 (mas não permite forçá-lo a 1). 1 1 A entrada permite forçar a 0. A entrada permite forçar a 1.

4 CICUITO EUENCIAI BÁICO - 4 LATCH 1 n+1 n n n HOLD EET ET 1 1 U U Não Utilizada O valor da saída do elemento de memória designa-se habitualmente por estado. Um latch tem 2 estados possíveis. = 1 e = 0 é forçado a 0 EET. = 0 e = 1 é forçado a 1 ET. = 0 e = 0 mantém estado anterior. = 1 e = 1 não utilizada sem significado (valor real depende da implementação).

5 CICUITO EUENCIAI BÁICO - 5 LATCH INCONIZADO / CONTOLADO 1 EN n n HOLD EN EET ET U Não Utilizada 0 X X n HOLD EN A entrada habilitadora ou enable, EN, permite controlar a aplicação das entradas de et e de eset ao latch.

6 CICUITO EUENCIAI BÁICO - 6 LATCHE IMBOLOGIA Latches imples _H _H _H _L Latch incronizado _H EN_H _H 1 1 _H _L A entrada de sincronismo é habitualmente designada por relógio Clock (C). A letra designa função: C = Clock; = et; = eset. O 1 à direita identifica a entrada. O 1 à esquerda da letra implica dependência da entrada 1.

7 CICUITO EUENCIAI BÁICO - 7 LATCH INCONIZADO DIAGAMA TEMPOAL _H EN_H _H 1 1 _H _L Exemplo E T E E T M A N T É M N Ã O U A D O

8 CICUITO EUENCIAI BÁICO - 8 LATCH D (INCONIZADO) D EN D n EET EN ET 1 0 X n HOLD Um dos modos de eliminar o estado indefinido no latch consiste em assegurar que as entradas e são sempre complementares. Obtém-se, assim, o latch D, que tem apenas 2 entradas: D (Data) e C (Clock). ímbolo D_H 1D EN_H _H _L

9 CICUITO EUENCIAI BÁICO - 9 CICUITO ÍNCONO Os circuitos sequenciais síncronos utilizam um sinal de relógio global para controlar a actualização de todos os elementos de memória do circuito: CLK clock signal, ou CP clock pulse. O sinal de relógio permite definir 2 fases de funcionamento: t 1 fase de cálculo dos sinais de entrada dos elementos de memória, fase de actualização dos elementos de memória. T período de frequência duty cycle relógio ( p. ex. 20ns) ( p. ex. 50MHz) : f ( p. ex. 50% ) : t 1 T : T 1 = T Deve, também, garantir que: os elementos de memória mantêm o mesmo valor durante a fase de cálculo dos valores seguintes, os elementos de memória actualizam os valores todos ao mesmo tempo (sincronamente).

10 CICUITO EUENCIAI BÁICO - 10 LATCHE E FLIP-FLOP Os circuitos básicos de memória podem ser classificados em latches e flip-flops. e a entrada de activação de um latch sincronizado estiver ligada ao sinal de relógio, o seu estado está continuamente a ser actualizado enquanto o relógio estiver a 1. Como não é possível garantir que o estado dos latches se mantenha estável durante a fase em que o sinal de relógio estiver a 1, não é também possível garantir que todos os latches mudem sincronamente num circuito complexo. Os latches têm aplicações muito específicas (menos complexos, mais rápidos), nomeadamente em circuitos assíncronos. Os flip-flops mudam as saídas apenas quando há uma variação do relógio (diz-se que são sensíveis ao flanco). Este modo de funcionamento garante que o seu estado só é alterado uma única vez em cada período de relógio. Esta característica permite que se utilize quase todo o período de relógio para geração de novos valores nas entradas. Os circuitos síncronos utilizam, na grande maioria dos casos, flip-flops (sensíveis ao flanco).

11 CICUITO EUENCIAI BÁICO - 11 FLIP-FLOP MATE-LAVE O flip-flop Master-lave consiste na ligação em cascata de 2 latches sincronizados, com sinais de controlo complementares. Funcionamento: o Mestre aceita ordens de et ou eset enquanto C = 1, mas só passa a ordem ao Escravo quando C = 0; do ponto de vista das saídas externas o estado apenas muda após a transição de 1 0 do relógio. Exemplo: =1 =0

12 CICUITO EUENCIAI BÁICO - 12 FLIP-FLOP JK MATE-LAVE O flip-flop JK permite eliminar o estado indefinido, mantendo 2 entradas e, portanto, 4 funcionalidades distintas. J C K Master 1 lave 1 _H J K n n HOLD EET 1 1 _L ET n TOGGLE Nota: continua a só existir mudança de estado (variação nas saídas) após a transição de relógio de 1 0.

13 CICUITO EUENCIAI BÁICO - 13 FLIP-FLOP MATE-LAVE Os flip-flops master-slave respondem aos valores na entrada que existam durante o semi-período em que C = 1. Por isso, são também chamados de pulse-triggered. Para o seu funcionamento correcto, no entanto, não devem ser permitidas variações nas entradas durante o pulso de relógio. Problema: se durante o pulso de relógio = 0 e = 0 1 0, esperar-se-ia que o flip-flop mantivesse o estado, pois a última ordem é de HOLD, no entanto, o Mestre respondeu à ordem de ET e é essa ordem que é passada ao Escravo. Master lave C C 1 M M 1

14 CICUITO EUENCIAI BÁICO - 14 FLIP-FLOP EDGE-TIGGEED Os flip-flops edge-triggered ignoram o pulso, enquanto este se mantém num valor constante, e apenas reagem à transição de relógio. Uma estrutura tipo master-slave em que o Mestre é um flip-flop D funciona como edge-triggered (e não como pulse-triggered): o estado que é passado do Mestre para o Escravo é sempre o estado definido pelas entradas na transição de relógio. Master lave D 1D M 1 C C 1 D M 1 Os flip-flops dizem-se positive-edge-triggered se reagem à transição de relógio 0 1. Os flip-flops dizem-se negative-edge-triggered se reagem à transição de relógio 1 0.

15 CICUITO EUENCIAI BÁICO - 15 FLIP-FLOP D EDGE-TIGGEED Os flip-flops D positive-edgetriggered são habitualmente realizados com o circuito ao lado. D_H _H CP D_H L n+1 L CP L H - - H n n 3 6 _L H - n 4

16 CICUITO EUENCIAI BÁICO - 16 ENTADA AÍNCONA Alguns flip-flops incluem entradas adicionais que permitem fazer o ET ou o EET assíncronamente, i.e., independentemente do relógio. A entrada de et assíncrono é também às vezes designada por direct set ou preset, e a entrada de eset assíncrono é também às vezes designada por direct reset ou clear. Exemplo 1J 1K Flip-flop JK com e assíncronos C J K n n HOLD EET ET n TOGGLE 1 0 X X X 1 ET 0 1 X X X 0 EET 1 1 X X X U Indefinido

17 CICUITO EUENCIAI BÁICO - 17 IMBOLOGIA 1 1D 1D 1 Latch Latch sincronizado Latch D sincronizado Latch D c/ controlo activo a L 1J 1J 1K 1K Flip-flop JK pulse-triggered Flip-flop JK pulse-triggered negativo 1J 1K 1D 1D 1J 1K Flip-flop JK edge-triggered positivo Flip-flop D edge-triggered positivo Flip-flop D edge-triggered negativo Flip-flop JK edge-triggered positivo c/ e assíncronas e activas a L

18 CICUITO EUENCIAI BÁICO - 18 CAACTEIZAÇÃO TEMPOAL Tempo de atraso ou de propagação Duração mínima de um pulso de relógio

19 CICUITO EUENCIAI BÁICO - 19 TEMPO DE PEPAAÇÃO E DE MANUTENÇÃO O tempo de preparação (t ETUP) é a duração mínima do intervalo de tempo, antes da transição de relógio, durante o qual as entradas de dados não podem variar. O tempo de manutenção (t H HOLD) é a duração mínima do intervalo de tempo, após a transição de relógio, durante o qual as entradas de dados não podem variar. OK Violação de ETUP Violação de HOLD

20 CICUITO EUENCIAI BÁICO - 20 CAACTEIZAÇÃO DO t, t W E t H PAA O DIVEO FFs Pulse-Triggered (Master-lave) Edge-Triggered Positivo Edge-Triggered Negativo

21 CICUITO EUENCIAI BÁICO - 21 METODOLOGIA DE INCONIZAÇÃO TEMPOAL Para sistemas síncronos, o funcionamento adequado significa que, para cada evento de relógio, todos os FFs examinam as suas entradas e determinam os seus novos estados. Isto obriga a que: 1. os valores de entrada correctos têm de ser disponibilizados, a tempo, aos FFs que vão mudar de estado. 2. nenhum flip-flop pode mudar de estado mais do que uma vez durante o mesmo evento de relógio. Exemplo: E=1, 0 (0)= 1 (0)=1 Diagrama Temporal considerando os tempos de atraso desprezáveis.

22 CICUITO EUENCIAI BÁICO - 22 COMPOTAMENTO TEMPOAL As entradas dos FFs têm de estar estáveis um Tempo de etup antes do flanco de relógio, e um Tempo de Hold depois do flanco de relógio. O tempo de propagação de um FF é habitualmente muito maior que o tempo de hold, portanto a verificação da condição de hold nunca é problema. Para garantir a condição de setup é necessário que a variação provocada pelo 1º evento de relógio, chegue à entrada do FF um tempo de setup antes do 2º flanco de relógio.

23 CICUITO EUENCIAI BÁICO - 23 COMPOTAMENTO TEMPOAL (cont.) Para uma frequência de relógio demasiado elevada, o circuito deixa de funcionar correctamente. O funcionamento correcto exige: t P FF + t P LÓGICA T CLK t U T CLK t P FF + t P LÓGICA + t U f CLK t P FF + t P 1 LÓGICA + t U O caso limite é: T min CLK = t P FF + t P LÓGICA + t U f max CLK = t P FF + t P 1 LÓGICA + t U

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO etembro de 4 CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de

FLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de FLIP-FLOPS FLOPS INTRODUÇÃO Os circuitos anteriormente estudados são chamados de circuitos combinacionais porque os níveis n lógicos l de saída dependem apenas dos níveis n lógicos l nas entradas. (os

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) IEMA DIGIAI (D) MEEC Acetatos das Aulas eóricas Versão 3.0 - Português Aula N o 13: ítulo: umário: Circuitos equenciais Básicos: Latches Elementos básicos de memória; Latches (Latch, Latch sincronizado,

Leia mais

Sistemas Digitais Contadores. João Paulo Carvalho

Sistemas Digitais Contadores. João Paulo Carvalho Sistemas Digitais Contadores João Paulo Carvalho Contadores Assíncronos Um contador binário de 3 bits é um circuito que evolui controladamente ao longo da seguinte sequência (de contagem): Sequência de

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark Básculas Flip-flops Sinal de relógio Básculas actualizadas no flanco Flip-flops master-slave Flip-flops edge-triggered Flip-flops SR, D, JK e T Entradas directas Características temporais 2 1 Um circuito

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

SISTEMAS DIGITAIS CONTADORES

SISTEMAS DIGITAIS CONTADORES CONTADORES Setembro de 0 CONTADORES - 2 SUMÁRIO: CONTADORES SÍNCRONOS CONTADORES DE MÓDULO 2 N PROJECTO DE CONTADORES FREQUÊNCIA MÁXIMA DE FUNCIONAMENTO SITUAÇÃO DE LOCKOUT SIMBOLOGIA CONTADOR EM ANEL

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Arquitectura de Computadores (I)

Arquitectura de Computadores (I) epartamento de iências e Tecnologias da Informação Arquitectura de omputadores (I) Textos de apoio ircuitos Sequenciais raft Versão.2b Novembro de 29 Tomás Brandão. Índice. LATHES...5.. LATH SR...5.2.

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Circuitos Lógicos Registradores e Contadores

Circuitos Lógicos Registradores e Contadores Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.

CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL. CAPÍTULO 3 CONSTRUÍNDO OS SISTEMAS SEQÜENCIAIS PELA ASSOCIAÇÃO DOS FLIPs/FLOPs À UMA LÓGICA COMBINACIONAL.. Introdução : O uso dos F/Fs nos permite uma série de aplicações, tais como, memórias, contadores,

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE5406 - Sistemas Digitais semestre 2011/1 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

0111100 + 0011111 1011011

0111100 + 0011111 1011011 ESOL SUPERIOR DE TENOLOGI E DE GESTÃO - INSTITUTO POLITÉNIO DE RGNÇ 200 07 4. a. gama de variação de um número em complemento para 2 é: -2 - n 2 -, em que é o número de bits do número representado. ssim

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br - Aula 2 - O NÍVEL DA MICROARQUITETURA 1. INTRODUÇÃO Este é o nível cuja função é implementar a camada ISA (Instruction Set Architeture). O seu projeto depende da arquitetura do conjunto das instruções

Leia mais

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR 19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: Circuitos Sequenciais Básicos: Flip-Flops Flip-Flops (Flip-flop master-slave, Flip-flop JK,

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

Básculas, Flip-Flops e Debouncing

Básculas, Flip-Flops e Debouncing Trabalho Prático n o 6 Componentes Sequenciais SSI Básculas, Flip-Flops e Debouncing 1 Introdução Este trabalho tem como objectivo: introduzir os rudimentos de circuitos sequenciais em projectos digitais,

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010 Questão 21 Conhecimentos Específicos - Técnico em Eletrônica Calcule a tensão Vo no circuito ilustrado na figura ao lado. A. 1 V. B. 10 V. C. 5 V. D. 15 V. Questão 22 Conhecimentos Específicos - Técnico

Leia mais

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q Memorias Flip-Flop básico (Assíncrono) Tabela da verdade A preencher Flip-Flop básico (Assíncrono) Tabela da verdade esumindo: A = A = A = = = A X = X não permitido X não permitido Flip-Flops íncrono Com

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO ESCOLA SECUNDÁRIA JOÃO GONÇALVES ZARCO-402011 CURSO PROFISSIONAL TÉCNICO DE GESTÃO DE EQUIPAMENTOS INFORMÁTICOS SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º 12 PLANIFICAÇÃO MODULAR MÓDULO N.º 1

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

Sincronização. Tempo e Relógios. Sincronização de Relógios - Algoritmo de Cristian - Algoritmo de Berkeley - Network Time Protocol

Sincronização. Tempo e Relógios. Sincronização de Relógios - Algoritmo de Cristian - Algoritmo de Berkeley - Network Time Protocol Sincronização Tempo e Relógios Sincronização de Relógios - Algoritmo de Cristian - Algoritmo de Berkeley - Network Time Protocol 1 O papel do tempo Crucial na ordenação de eventos Tempo Real? - Função

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

Aula 19. Conversão AD e DA Técnicas

Aula 19. Conversão AD e DA Técnicas Aula 19 Conversão AD e DA Técnicas Introdução As características mais importantes dos conversores AD e DA são o tempo de conversão, a taxa de conversão, que indicam quantas vezes o sinal analógico ou digital

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Conceitos sobre Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Conceitos sobre Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 7.1, 7.2, 7.4, 7.5, 7.12, 9.2, DDPP, Wakerly

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Flip-Flop

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Latches e Flip Flops GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Sistemas Digitais Reconfiguráveis Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Liliana Rocha Nicolau Lopes da Costa 27611 Ano Lectivo de 2006/2007 Universidade de Aveiro 1. Objectivos

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Flip-Flop RS Circuitos sequenciais tem suas saídas dependentes dos sinais de entrada, ou estados anteriores que permanecem armazenados O Flip-Flop: Dispositivo que possui dois estados

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado

Flip-Flops. Slide 1. Flip-flop NOR SR cruzado. Reiniciar Configurar Reter Não usado. Flip-flop NAND SR cruzado. Reiniciar Configurar Reter Não usado Slide 1 Flip-flop NOR SR cruzado Flip-Flops Reiniciar Configurar Reiniciar Configurar Reter Não usado Flip-flop NAND SR cruzado Reiniciar Configurar Reiniciar Configurar Reter Não usado 6.071 Lógica Digital

Leia mais

Contadores. Contadores Assíncronos Crescentes

Contadores. Contadores Assíncronos Crescentes Contadores Variam seus estados sob o comando de um clock; São utilizados para: Contagens diversas; Divisão de frequência; Medição de frequência e tempo; Geração de formas de onda; Conversão analógico para

Leia mais

Parallel to Serial Shifting IN

Parallel to Serial Shifting IN Parallel to Serial Shifting IN Objectivo: Com o circuito integrado CD4021B Quando num projecto o número de sensores digitais é superior ao número de pinos de entradas digitais disponíveis no microcontrolador

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

LATCHES e FLIP-FLOPs. Aula 15 GRECO-CIN-UFPE

LATCHES e FLIP-FLOPs. Aula 15 GRECO-CIN-UFPE LATCHE e FLIP-FLOPs Aula 5 GECO-CIN-UFPE Latches e Flip-FlopsFlops Como implementar uma célula de memória? r n- r n-2 r n-3 r n-4 r egistrador de n bits célula {,} = bit de informação Flip-Flop/LatchesFlop/Latches

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:

Leia mais

MICROMASTER MM4. Usando o Controle de Malha Fechada (PID) Edição 08.2002. IND 1 Drives technology Suporte Técnico Drives Hotline

MICROMASTER MM4. Usando o Controle de Malha Fechada (PID) Edição 08.2002. IND 1 Drives technology Suporte Técnico Drives Hotline s MICROMASTER MM4 Usando o Controle de Malha Fechada (PID) Edição 08.2002 IND 1 Drives technology Suporte Técnico Drives Hotline USANDO O CONTROLE DE MALHA FECHADA NO MM4 O que é controle de malha fechada

Leia mais

Tutorial de Eletrônica Aplicações com 555 v2010.05

Tutorial de Eletrônica Aplicações com 555 v2010.05 Tutorial de Eletrônica Aplicações com 555 v2010.05 Linha de Equipamentos MEC Desenvolvidos por: Maxwell Bohr Instrumentação Eletrônica Ltda. Rua Porto Alegre, 212 Londrina PR Brasil http://www.maxwellbohr.com.br

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Central de Alarme de Oito Zonas

Central de Alarme de Oito Zonas Central de Alarme de Oito Zonas R02 ÍNDICE CARACTERÍSTICAS GERAIS:... 3 CARACTERÍSTICAS TÉCNICAS:... 3 CONHECENDO A CENTRAL:... 4 COMO A CENTRAL FUNCIONA:... 4 COMO APAGAR A MEMÓRIA DA CENTRAL:... 4 COMO

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Pipeline. Todos os estágios devem estar prontos ao mesmo tempo para prosseguir.

Pipeline. Todos os estágios devem estar prontos ao mesmo tempo para prosseguir. O throughput de um pipeline é determinado pela freqüência com que uma instrução sai do pipeline Todos os estágios devem estar prontos ao mesmo tempo para prosseguir O tempo requerido para mover uma instrução

Leia mais

Controle universal para motor de passo

Controle universal para motor de passo Controle universal para motor de passo No projeto de automatismos industriais, robótica ou ainda com finalidades didáticas, um controle de motor de passo é um ponto crítico que deve ser enfrentado pelo

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um umário Introdução à lógica sequencial. Circuitos simples com realimentação. O latch do tipo -. O latch do tipo D baseado no latch -. [início do ponto IV] Índice Lógica equencial Circuitos simples com realimentação

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS IGITAIS (S) MEE Acetatos das Aulas Teóricas Versão. - Português Aula N o 4: Título: Sumário: ircuitos Sequenciais Básicos: aracterização temporal; Metodologia de sincronização temporal. /4 Nuno.Roma@tecnico.ulisboa.pt

Leia mais

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1 Conteúdo Página Operações Lógicas Binárias: AND, OR...2 Operações Lógicas Binárias: OR Exclusivo (XOR)...3 Contatos Normalmente Abertos e Normalmente Fechados. Sensores e Símbolos... 4 Exercício...5 Resultado

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO. Caderno Didático - Disciplina de Sistemas Digitais A UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ELETRÔNICA E COMPUTAÇÃO Caderno Didático - Disciplina de Sistemas Digitais A Prof. Dr. José Renes Pinheiro Colaboradores: José Eduardo

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

Circuitos Seqüenciais Latches e Flip-Flops

Circuitos Seqüenciais Latches e Flip-Flops UNIVASF Eletrônica Digital I Circuitos Seqüenciais Latches e Flip-Flops Material do professor Rodrigo Ramos (UNIVASF) Circuitos Seqüenciais Circuitos Digitais Combinatório: As saídas, em qualquer instante,

Leia mais

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops Introdução Tipos Circuitos Integrados Introdução - Um Flip-flop é uma célula de memória, accionada por um dos flancos do relógio. - Em geral, os flip-flops aparecem com duas entradas independentes do sinal

Leia mais