EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

Tamanho: px
Começar a partir da página:

Download "EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL"

Transcrição

1 Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento FPGA. Ao final da experiência, os alunos terão conhecimento sobre o procedimento a ser realizado para o projeto digital usando uma linguagem de descrição de hardware e com auxílio de um software para projeto de circuitos digitais. OBJETIVOS Após a conclusão desta experiência, os seguintes tópicos devem ser conhecidos pelos alunos: Síntese e implementação de circuitos em VHDL e em placa de desenvolvimento FPGA; Uso de software para projeto de circuitos digitais; Simulação de circuitos digitais; Estudo de um circuito digital simples. 1. PARTE EXPERIMENTAL 1.1. Atividade 1 (Pré-Laboratório) Esta primeira atividade visa estudar dois componentes essenciais em circuitos digitais: o contador e o registrador. Ambos os componentes devem ser descritos na linguagem VHDL Contador hexadecimal 7163 em VHDL a) Consulte o livro-texto (Wakerly, página 722) e estude a descrição VHDL do contador hexadecimal 7163 (V7x163.vhd). Faça anotações no código fonte VHDL na forma de comentários para descrever a função de cada linha de comando. Traga o arquivo fonte. DICAs: neste item, deve-se incluir no Planejamento uma figura com o símbolo lógico do componente para ilustrar a resposta. Exemplo de comentário do código fonte: architecture V7x163_arch of V7x163 is -- descrição da arquitetura da entidade V7x163 signal IQ: UNSIGNED (3 downto 0); -- define sinal de bits com valor interno da contagem begin process (CLK, ENT, IQ) -- processo principal que descreve... b) Responda as perguntas abaixo: 1. A contagem interna usa o tipo UNSIGNED para poder calcular o próximo valor. Qual é a diferença entre os tipos UNSIGNED e STD_LOGIC_VECTOR? 2. Explique se o sinal de CLEAR é síncrono ou assíncrono? Que linha de código justifica sua resposta? 3. Como um valor pode ser carregado no 7163? Mostre a linha de código que descreve esta função.. Este componente é sensível a qual borda do sinal de clock? Qual é a linha de código que mostra isto? 5. Qual é a condição para a saída RCO ser acionada? Justifique sua resposta, mostrando a linha de código que descreve este funcionamento. DICA: numere as linhas de código do item a e use os números das linhas para justificar as respostas acima. Circuito em VHDL (2017) 1

2 Registrador com sinal de habilitação em VHDL Neste item será estudada a descrição de um registrador com sinal de habilitação em VHDL. Este componente deve ser aplicado em circuitos seguintes no Laboratório Digital. c) Consulte o livro-texto (Wakerly, página 700) e estude a descrição VHDL de um registrador de 16 bits (Vreg16.vhd). Faça anotações no código fonte VHDL na forma de comentários para descrever a função de cada linha de comando. Traga o arquivo fonte. DICAs: neste item, deve-se incluir uma figura com o símbolo lógico do componente para ilustrar a resposta. Siga as orientações do item d) Responda as perguntas abaixo: 6. Quantos bits tem o registrador descrito no código fonte? 7. Há quantos sinais de controle neste componente? Mostre a função de cada um. 8. Explique a função da expressão (others=> 0 ) em VHDL. 9. Qual é a diferença entre os tipos STD_LOGIC_VECTOR e STD_ULOGIC_VECTOR? 10. Qual é a função do comando VHDL To_StdULogicVector(IQ)? 11. O que representa o valor Z usado na descrição VHDL? e) Com base na descrição estudada acima, elabore a descrição VHDL de um novo componente que será chamado regbits_en.vhd que é um registrador de bits com sinal de habilitação. Considere o símbolo lógico da figura 1.1. D (D3, D2, D1, D0) ENABLE regbits_en Q (Q3, Q2, Q1, Q0) CLOCK Figura 1.1 Símbolo lógico do componente a ser elaborado. A tabela abaixo descreve seu funcionamento. Pode-se observar que ambos os sinais de controle são síncronos e ativos em alto. ENABLE Q* (próxima saída) descrição 1 X 0 zera saída 0 0 Q nenhum sinal de controle ativado 0 1 D carrega entrada paralela D f) Comente o código VHDL e traga o arquivo para o Laboratório Digital. Neste ponto, terminamos a preparação conceitual para a aula experimental no Laboratório Digital. Resta agora, verificar os procedimentos experimentais, com a leitura e familiarização das atividades programadas. Após isto, deve ser incluído no planejamento um roteiro experimental detalhado. Circuito em VHDL (2017) 2

3 Projeto do Circuito Digital Simples em VHDL Neste item será estudada a descrição de um registrador com sinal de habilitação em VHDL. Este componente deve ser aplicado em circuitos seguintes no Laboratório Digital. g) O circuito digital simples, que foi estudado na experiência anterior e tem seu esquema interno apresentado na figura 1.2, deve ser implementado inteiramente em VHDL. Use o componente V7x163.vhd (contador hexadecimal em VHDL) do item e o componente umfsm.vhd da experiência Primeiro Circuito Digital. Adote uma descrição estrutural para interligar os dois componentes. liga sinal umfsm resetc enablec ENT CLK 7163 Q RCO RESET CLOCK Figura 1.2 Esquema interno do circuito digital simples interligando os dois componentes principais. h) Documente seu projeto, inserindo comentários no código fonte. i) Traga os arquivos fonte VHDL dos dois componentes internos e do componente principal para o Laboratório Digital. Circuito em VHDL (2017) 3

4 1.2. Atividade 2 Simulação do Circuito e Síntese na Placa FPGA Esta atividade visa introduzir os alunos ao software de desenvolvimento de projetos digitais Altera Quartus Prime. Esta aplicação permite o projeto, implementação e simulação de circuitos digitais. Serão apresentadas as funcionalidades de criação do projeto do circuito digital, compilação do projeto, simulação e síntese para uma FPGA. f) Com base na apresentação realizada pelo professor, execute a simulação do projeto do circuito digital simples projetado no item Apresente as formas de onda obtidas no Relatório. g) Em seguida, deve-se realizar a preparação para a síntese do circuito para ser implementado na placa de desenvolvimento FPGA. Para isto deve adotar a seguinte designação de pinos para o projeto. sinal Designação pino Sinal externo CLOCK GPIO_0_D0 saída digital 0 (Analog Discovery) RESET chave SW9 - liga chave SW0 - sinal chave SW1 - resetc led LEDR9 - enablec led LEDR8 - Q leds LEDR0 a LEDR3 - RCO led LEDR - h) Anote as mensagens de saída do Altera Quartus Prime e verifique o resultado da síntese para a placa de desenvolvimento FPGA DE0-CV Atividade 3 Análise de Funcionamento do Circuito em VHDL O projeto deve ser programado na placa DE0-CV e seu funcionamento deve ser analisado cuidadosamente. Siga as recomendações do professor ao manusear a placa FPGA. i) Programe a placa DE0-CV com o circuito sintetizado usando o Altera Quartus Prime. j) Conecte o sinal GND e a saída digital 0 do Analog Discovery nos respectivos pinos do conector GPIO_0 da placa DE0-CV. Use a documentação da placa disponível no Laboratório Digital. k) No software Waveforms no computador, ajuste o sinal da saída digital 0 para um sinal de clock periódico com frequência igual a 1Hz. l) Acione os sinais de entrada LIGA e SINAL e verifique o funcionamento do circuito. Observar também os sinais clock, sinal, enablec e resetc. DICA: use o diagrama ASM ou diagrama de transição de estados desenvolvido no item e para acompanhar a mudança de estados do circuito. Use várias sequências de teste. Documente no Relatório, as saídas observadas para cada caso de teste. Para poder observar os sinais de entrada clock e sinal, deve-se acrescentar dois pinos de saída no projeto e designá-los para os leds LEDR5 e LEDR6, respectivamente. Circuito em VHDL (2017)

5 m) Analise a sequência de sinais ativados no circuito e responda: 11. Quando SINAL é acionado por 5 seg, qual é a saída apresentada na saída Q? 12. O que acontece quando o sinal LIGA é desativado antes do SINAL? 13. Qual é a saída do contador se SINAL fica acionado por mais de 15 segundos? 1. O que acontece quando SINAL é acionado várias vezes por intervalos de tempo diferentes? l) Uma MODIFICAÇÃO do circuito vai ser solicitada pelo professor. Implemente e documente a modificação para a placa DE0-CV e faça uma demonstração do seu funcionamento. DICA: apresente no Relatório o diagrama ASM modificado e um diagrama de blocos do fluxo de dados. 2. BIBLIOGRAFIA ALTERA. DE0-CV User Manual ALTERA. Quartus Prime Introduction Using VHDL Designs ALTERA. Quartus Prime Introduction to Simulation of VHDL Designs D AMORE, R. VHDL - descrição e síntese de circuitos digitais. 2a edição, LTC, 2012 MENEZES, M.P.; SATO, L.M.; MIDORIKAWA, E.T. Projeto de Circuitos com Quartus II 9.1. Apostila de Laboratório Digital. Departamento de Engenharia de Computação e Sistemas Digitais, Escola Politécnica da USP. Edição de TEXAS INSTRUMENTS. Digital Logic Pocket Data Book WAKERLY, John F. Digital Design Principles & Practices. th edition, Prentice Hall, EQUIPAMENTOS NECESSÁRIOS 1 computador pessoal com o software Altera Quartus Prime. 1 dispositivo Analog Discovery da Digilent. 1 placa de desenvolvimento FPGA DE0-CV da Altera com o dispositivo Cyclone V 5CEBAF23C7N. Histórico de Revisões E.T.M. / 2017 (versão inicial) Circuito em VHDL (2017) 5

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Circuito Hierárquico

Circuito Hierárquico Circuito Hierárquico Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital de forma hierárquico. Uma hierarquia de módulos compõe o projeto, onde cada módulo

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Desenvolvimento de um Circuito Complexo

Desenvolvimento de um Circuito Complexo Desenvolvimento de um Circuito Complexo Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital complexo ou não trivial. Para isto será estudada uma metodologia

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Circuito de Aritmética Decimal

Circuito de Aritmética Decimal Circuito de Aritmética Decimal Versão 2017 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits. Circuitos aritméticos integrados, como

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar Sistema de Radar Versão 2015 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a detecção de objetos próximo com um sensor ultrassônico de distância e um servo-motor. A implementação

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

MELHORAMENTO NO PROCESSADOR SIMPLES

MELHORAMENTO NO PROCESSADOR SIMPLES MELHORAMENTO NO PROCESSADOR SIMPLES Versão 2014 RESUMO Esta experiência tem como objetivo o desenvolvimento de um melhoramento no projeto de um núcleo de um processador simples. Na parte experimental este

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2014 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2013 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2011 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2014 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Familiarização e Interface com Modem

Familiarização e Interface com Modem Loop-back Full-duplex EPUSP PCS 3645 Laboratório Digital II RESUMO O objetivo desta experiência é a familiarização e p projeto de um circuito de comunicação com MODEMs. Nesta experiência será analisado

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2007 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) RESUMO Esta experiência tem por objetivo

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência

Leia mais

Verificador de Senhas

Verificador de Senhas Verificador de Senhas Versão 2012 RESUM Esta experiência consiste no projeto e na implementação de um circuito de controle digital para verificação de códigos de segurança. procedimento de operação deste

Leia mais

Considere a existência de um sistema que tem seu funcionamento monitorado por um conjunto sensor/alarme.

Considere a existência de um sistema que tem seu funcionamento monitorado por um conjunto sensor/alarme. FLIP-FLOPS Considere a existência de um sistema que tem seu funcionamento monitorado por um conjunto sensor/alarme. RESFRIAMENTO ESTUFA SENSOR DE TEMPERATURA Se a temperatura ultrapassa determinado valor

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA

PONTIFÍCIA UNIVERSIDADE CATÓLICA P U C PONTIFÍCIA UNIVERSIDADE CATÓLICA CURSO DE ENGENHARIA ELÉTRICA E N G E N H A R I A LABORATÓRIO DE SISTEMAS DIGITAIS 2 SD 2 Prof. Dr. Aparecido S. Nicolett Prof. Dr. Sérgio Miranda Paz - Versão: 1.

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA. Contadores

INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA. Contadores INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA Contadores Experiências com contadores síncronos e assíncronos usando FF Relatório Técnico apresentado como requisito

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

ATIVIDADES PRÁTICAS SUPERVISIONADAS

ATIVIDADES PRÁTICAS SUPERVISIONADAS ATIVIDADES PRÁTICAS SUPERVISIONADAS 6ª Série Eletrônica Digital Engenharia de Controle e Automação A Atividade Prática Supervisionada (ATPS) é um procedimento metodológico de ensino-aprendizagem desenvolvido

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais