TUTORIAL PARA SIMULAÇÃO

Tamanho: px
Começar a partir da página:

Download "TUTORIAL PARA SIMULAÇÃO"

Transcrição

1 TUTORIAL PARA SIMULAÇÃO Fernando Moraes Atualizado em 29/outubro/2012 O presente laboratório tem por objetivos: 1. Ilustrar o comportamento dos somadores vistos nas aulas teóricas 2. Introduzir as ferramentas da CADENCE para simulação e síntese. Arquivos dos somadores com ambiente de síntese lógica e simulação Baixar os arquivos de simulação dos somadores: wget e extraí-lo em um diretório de trabalho. Neste arquivo compactado há quatro diretórios: ñ rtl diretório que contém a descrição em VHDL dos somadors ñ tb diretório que contém o test bench com geração randômica de estímulos ñ sim diretório que contém o ambiente de simulação para as diferentes etapas do projeto ñ synthesis diretório que contém o ambiente de síntese do projeto Passos para gerar o ambiente de trabalho para esse tutorial: ñ Conectar-se à kriti: ssh <usuário>@kriti.inf.pucrs.br -X ñ Ir para o diretório de trabalho (local onde foi descompactado o somadores.zip ñ Carregar as ferramentas necessárias: source /soft64/source_gaph module load incisive edi PRIMEIRA ETAPA DO FLUXO DE PROJETO - Simulação funcional no nclaunch ESTA É UMA ETAPA DE SIMULAÇÃO SEM ATRASOS, apenas verifica o correto funcionamento dos somadores. Ir para o ambiente de simulação rtl: cd sim Observar o script de simulação fornecido - cat file_list.f : -smartorder -work work -V93 -top testa_adders -notimingchecks -gui -access +rw../rtl/somadores.vhd../tb/random_seed_pack.vhd../tb/testadder_random.vhd onde: ñ -smartorder indica que o compilador deve reconhecer a ordem hierárquica das descrições fornecidas ñ -work define o nome da biblioteca onde serão armazenados os módulos compilados ñ -V93 habilita características do VHDL93, como evitar a declaração de componentes ñ -top topo da hierarquia do projeto ñ -notimingchecks desabilita verificações de timing ñ -gui habilita modo gráfico ñ -access +rw acesso aos sinais internos do circuito para exibição Executar o seguinte comando: irun -f file_list.f A ferramenta irun irá compilar e elaborar o projeto.

2 A interface do simulador é aberta. Selecionando-se o top (TESTA_ADDERS(A1)) tem-se os sinais da entidade, os quais podem ser enviados para uma waveform, clicando no local indicado. Selecione os sinais internos, da entidade soma, com abaixo, trocando a base dos sinais de soma ( s_xxx ) para decimal (no menu format à radix/mnemonic à decimal). Para recuperar posteriormente as formas de onda, salvar em File à Save Command Script escolhendo um nome para o arquivo, como wave1.tlc. Notar que na janela é indicado como recuperar depois as formas de onda: irun -f file_list.f - input wave1.tcl. Executar a simulação por 11 us (run 11 us). Notar as mensagens abaixo execução sem erros. ncsim> run 11 us ASSERT/NOTE (time 10 US) from process :test (architecture work.testa_adders:a1) Test completed with no errors. Ran until 11 US + 0 ncsim>

3 O controle do zoom é dado pelo círculo em vermelho na figura abaixo. Para zoom full clicar no símbolo =. Notar que visualizamos todos os estímulos gerados para a soma. O test bench gera inicialmente alguns estímulos fixos, e posteriormente randômicos. Fazer um zoom para os primeiros vetores de teste: Estes 7 vetores iniciais de simulação estão descritos no test bench entre as linhas 51 a 59: constant test_patterns : test_array_t := ( (a =>(others =>'0'), b =>(0=> '1',others => '0'), cin =>'0', sum=>(0=> '1',others => '0'), cout =>'0'), (a =>(others =>'0'), b =>(others => '0'), cin =>'1', sum=>(0=> '1',others => '0'), cout =>'0'), (a =>(others =>'0'), b =>(others => '0'), cin =>'0', sum=>(others => '0'), cout =>'0'), (a =>(others =>'1'), b =>(others => '0'), cin =>'0', sum=>(others => '1'), cout =>'0'), (a =>(others =>'1'), b =>(0=> '1',others => '0'), cin =>'0', sum=>(others => '0'), cout =>'1'), (a =>(others =>'1'), b =>(0=> '1',others => '0'), cin => '1',sum=>(0=> '1',others => '0'), cout =>'1'), (a =>(others =>'1'), b =>(others => '0'), cin =>'1', sum=>(others => '0'), cout =>'1') ); O quinto vetor de teste corresponde a somar todos os bits de A em 1 ( ) com B igual a 1, e Cin igual a 0. O resultado esperado é 0 com todos os carry out em 1. Este é o pior caso para a soma, pois há a propagação do carry em todos os bits. O sétimo vetor de teste soma todos os bits de A em 1 ( ) com B igual a 0, e Cin igual a 1. O resultado esperado é o mesmo que o anterior, com a diferença apenas no somador logarítmico, o qual não tem entrada de Cin. Logo o valor da soma será igual ao valor de A.

4 FAZER: Insira algum erro em algum somador e observe as mensagens de saída. Exemplo: na linha 687 do somadores.vdh (S(15) <= pg1515(0) xor pg1400(1);) trocar por S(15) <= pg1515(0) xor pg1400(0);. Executando irun -f file_list.f -input wave1.tcl por 11 us obtemos: ASSERT/ERROR (time 400 NS) from process :test (architecture work.testa_adders:a1) **************>> erro no sklansky Sum is Result is: Assertion at 400 NS + 0 Observar a correta operação do multiplicador, realizando zoom (CTRL + scroll) sobre os sinais obtidos. Explore a ferramenta adicionando novos sinais na waveform, reinicializando a simulação (reset no console), etc. etc. Para sair, menu File à Exit SimVision ETAPA 2 - Síntese Lógica Ir para o diretório de síntese: cd../synthesis Para a síntese lógica será utilizada a ferramenta RTL Compiler da CADENCE. No laboratório 8 iremos explorar os comandos de síntese lógica. Neste tutorial o objetivo é simulação. Execute apenas (a execução pode legar um certo tempo): rc -f comandos_rc.txt Avaliando área. Digite: rc:/> report area -depth 2 Instance Cells Cell Area Net Area somadores skl csrqt csa cla rip cbp Os somadores que consumiram mais área foram os carry-save (csrqt e cla), seguido pelo logarítmico (skl). Os somadores cla/ripple/cbp tiveram o mesmo número de portas. Este resultado deve-se às portas lógicas disponíveis na biblioteca. Para sair do rc digite exit. Analise o arquivo gerado pela síntese (layout/somadores.v). É uma descrição em Verilog, com 4803 linhas. Neste arquivo temos o VHDL mapeado para as células da biblioteca.

5 ETAPA 3 Simulação com Atraso de Porta Lógica Utilizar o file_list do diretório synthesis. Notar que utilizamos o mesmo test bench. A modificação está na inclusão da biblioteca de células e do arquivo Verilog gerado na etapa anterior. -smartorder -work work -V93 -top testa_adders -gui -access +rw /soft64/design_kits/cmos065_534/core65gpsvt_5.1/behaviour/verilog/core65gpsvt.v layout/somadores.v../tb/random_seed_pack.vhd../tb/testadder_random.vhd Realize a simulação, verifique se não houveram erros (run 11 us) e faça um zoom em torno dos 400 ns: O somador logarítmico é o que responde mais rápido (sinais s_log e c_log). Na sequência temos os somadores carry select e carry select sqrt (c_select e c_sqrt, respectivamente). Depois temos o ripple carry e o CLA. Um resultado inesperado é o tempo do somador by-pass, que deveria ser tão rápido quando o carry select, pois apresentou um comportamento igual ao CLA e ao RIPPLE. A explicação para este comportamento inesperado deve-se ao fato de como a síntese lógica realiza o mapeamento: não há na biblioteca portas complexas que otimizam o desempenho do by-pass. FINAL DO TUTORIAL

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais 1 Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Leia mais

LABORATÓRIO 1 - SIMULAÇÃO SPICE DO TRANSISTOR

LABORATÓRIO 1 - SIMULAÇÃO SPICE DO TRANSISTOR LABORATÓRIO 1 - SIMULAÇÃO SPICE DO TRANSISTOR Revisão: 06/março/2018 Exemplo de ajuda para descrição SPICE http://www.seas.upenn.edu/~jan/spice/spice.overview.html Login no sistema operacional LINUX Todas

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais 23/abril/2019 Introdução à Linguagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados

PSI-3451 Projeto de CI Lógicos Integrados PSI-3451 Projeto de CI Lógicos Integrados Sejam bem-vindos ao laboratório do PSI. Esta primeira prática de VHDL pretende familiarizar o aluno com o software para simulação ModelSim. Através dele serão

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE

TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE Matheus Moreira - Fernando Moraes Atualizado em - 21/agosto/2013 Arquivos do projeto (detector de padrão) com ambiente de síntese e simulação Passos

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores Laboratório: Somadores 30 de agosto de 2017

UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores Laboratório: Somadores 30 de agosto de 2017 UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores 2017-2 Laboratório: Somadores 30 de agosto de 2017 3 Somadores Objetivos: são três os objetivos deste laboratório:

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

a b s cout Tabela 1: tabela verdade do meio somador

a b s cout Tabela 1: tabela verdade do meio somador APO7-29/04/10 Turma A Lisardo Sallaberry Kist 159839 César Garcia Daudt 180164 Introdução Nesta aula os alunos foram introduzidos ao programa de MaxPlus II. Devia-se executar a montagem de um meio-somador

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Introdução à Engenharia ENG1000

Introdução à Engenharia ENG1000 Introdução à Engenharia ENG1000 Aula 04 Introdução ao Visual Studio 2010 Prof. Augusto Baffa 1. Execute o MS-Visual Studio 2010. Experimente o caminho: Start All Programs Microsoft

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias 1 IDES E PROGRAMAÇÃO Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias {claudio,macdias}@icmc.usp.br 2 Agenda Conceitos Instalação e Configuração Compilação e Execução de Código IDEs Exemplo

Leia mais

SPYDER. um ambiente de desenvolvimento integrado (IDE*) para Python. Prof. Carlos Hitoshi Morimoto DCC IME USP Março, 2016

SPYDER. um ambiente de desenvolvimento integrado (IDE*) para Python. Prof. Carlos Hitoshi Morimoto DCC IME USP Março, 2016 SPYDER um ambiente de desenvolvimento integrado (IDE*) para Python Prof. Carlos Hitoshi Morimoto DCC IME USP Março, 2016 * IDE = Integrated Development Environment Esta obra está licenciada com uma licença

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Entraremos em sistema linux para realizar algumas tarefas e aprender alguns comandos.

Entraremos em sistema linux para realizar algumas tarefas e aprender alguns comandos. Entraremos em sistema linux para realizar algumas tarefas e aprender alguns comandos. Como se conectar a partir do sistema Windows: Conexão direta SSH: Putty (download) Entrando numa conta no servidor:

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Primeiros passos das Planilhas de Obra v2.5 Instalação, configuração e primeiros passos para uso das planilhas de obra

Primeiros passos das Planilhas de Obra v2.5 Instalação, configuração e primeiros passos para uso das planilhas de obra Primeiros passos das Planilhas de Obra v2.5 Instalação, configuração e primeiros passos para uso das planilhas de obra Elaborado pela Equipe Planilhas de Obra.com Conteúdo 1. Preparar inicialização das

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Roteiro de Laboratório 12 Compilação Cruzada de um Kernel

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo 2018 São Paulo Material Didático sobre Robocode Conhecendo o Robô 1 Criando nosso primeiro Robô 1.1 Ambiente de Batalha O ambiente de batalha é o local onde será realizada a guerra entre os Robôs. Abaixo

Leia mais

Aula Prática de Redes Industriais Wireshark

Aula Prática de Redes Industriais Wireshark Aula Prática de Redes Industriais Wireshark O entendimento de protocolos de redes pode ser bastante aprofundado através da observação de protocolos funcionando e da manipulação de protocolos - observando

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Onde Cadastrar Os Dados Da Empresa. Onde Cadastrar Os Dados Da Empresa

Onde Cadastrar Os Dados Da Empresa. Onde Cadastrar Os Dados Da Empresa Onde Cadastrar Os Dados Da Empresa Onde Cadastrar Os Dados Da Empresa Os dados da empresa são obrigatórios para a emissão da nota fiscal. Esse é o primeiro passo a ser cadastrado. (1) Clique na engrenagem

Leia mais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Matheus D Eça Torquato de Melo 13/04/2010 13 de abr.

Leia mais

Capítulo 11. GRÁFICOS COM WGNUPLOT

Capítulo 11. GRÁFICOS COM WGNUPLOT Capítulo 11. GRÁFICOS COM WGNUPLOT OBJETIVOS DO CAPÍTULO Aprender a utilizar o aplicativo Wgnuplot para fazer gráficos Aprender a utilizar o aplicativo Wgnuplot interativamente com um programa em FORTRAN

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Passo-a-passo para desenvolver um Programa usando a Linguagem Python

Passo-a-passo para desenvolver um Programa usando a Linguagem Python Cursos: Engenharia de Produção / Tecnólogo em Gestão da Qualidade Disciplina: Informática Professora: Flávia Pereira de Carvalho Passo-a-passo para desenvolver um Programa usando a Linguagem Python 1)

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Histórico e Descrição estrutural Prof. Rodrigo de Paula Rodrigues Verilog Histórico 1983... 1987 1988 1989... 1995...

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Responsáveis: Bruno Silva, André Coelho, Wellington Silva, Marcelo Hirano. Atualizado em: 08/09/2017 CONFIGURAÇÃO DE BACKUP DE ORIGEM LINUX

Responsáveis: Bruno Silva, André Coelho, Wellington Silva, Marcelo Hirano. Atualizado em: 08/09/2017 CONFIGURAÇÃO DE BACKUP DE ORIGEM LINUX VIRTOS S.O.S BACKUP MATERIAL DE APOIO AO USUÁRIO Responsáveis: Bruno Silva, André Coelho, Wellington Silva, Marcelo Hirano. Atualizado em: 08/09/2017 CONFIGURAÇÃO DE BACKUP DE ORIGEM LINUX O S.O.S Backup

Leia mais

Minicurso de MATLAB. Programa de Educação Tutorial de Engenharia Elétrica 28/03/15. lmax Rodrigues. lcaroline Pereira.

Minicurso de MATLAB. Programa de Educação Tutorial de Engenharia Elétrica 28/03/15. lmax Rodrigues. lcaroline Pereira. Minicurso de MATLAB Programa de Educação Tutorial de Engenharia Elétrica lmax Rodrigues lcaroline Pereira lnayara Medeiros Ementa do Curso Primeiros Passos no MATLAB Vetores e Matrizes Resolução de sistemas

Leia mais

No Windows há basicamente dois tipos de programas de usuário:

No Windows há basicamente dois tipos de programas de usuário: Introdução O que vem a ser um programa em C? Você talvez já tenha ouvido falar que um compilador é um programa ou ferramenta que transforma um programa fonte escrito em uma linguagem de programação de

Leia mais

Estrutura de Dados Básica

Estrutura de Dados Básica Estrutura de Dados Básica Professor: Osvaldo Kotaro Takai. Aula 5: Registros O objetivo desta aula é apresentar os tipos de dados definidos pelo programador, bem como exibir usos comuns desses tipos de

Leia mais

Analisador de Energia. Manual do usuário do Software

Analisador de Energia. Manual do usuário do Software Analisador de Energia Manual do usuário do Software Índice Título Página 1. Requerimentos de hardware e sistema operacional... 1 2. Instalação do Software... 1 3. Protocolo RS-232... 1 4. Seleção de Idioma...

Leia mais

INFRAESTRUTURA DE HARDWARE

INFRAESTRUTURA DE HARDWARE INFRAESTRUTURA DE HARDWARE LABORATÓRIOS 02: MODELAGEM DE UM COMPONENTE ADDER EM SYSTEMC 1. INTRODUÇÃO O objetivo deste laboratório é modelar o componente combinacional adder (adicionador) em SystemC. Um

Leia mais

Arquivos de Texto UFOP 1/41

Arquivos de Texto UFOP 1/41 BCC 201 - Introdução à Programação I Arquivos de Texto Guillermo Cámara-Chávez UFOP 1/41 Arquivos I Podem armazenar grande quantidade de informação Dados são persistentes (gravados em disco) Acesso aos

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE

TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE TUTORIAL PARA SÍNTESE STANDARD- CELLS UTILIZANDO CADENCE Matheus Moreira Ricardo Guazzelli Leonardo Rezende- Fernando Moraes Atualizado em - 19/agosto/2016 Arquivos do projeto (detector de padrão) com

Leia mais

Trabalho de 2 DSP data limite de entrega: 27/09/2009

Trabalho de 2 DSP data limite de entrega: 27/09/2009 PROCEDIMENTOS: 1. CRIE UM ARQUIVO PDF COM OS NOMES DOS PARTICIPANTES (DUPLAS): pedrinho_joaozinho.rar 2. AS SOLUÇÕES DEVEM SER ORGANIZADAS EM ORDEM CONFORME ESTE DOCUMENTO. 3. ENVIE POR EMAIL PARA brusamarello.valner@gmail.com

Leia mais

Manual de Compilação/Execução da Aplicação SmartHome

Manual de Compilação/Execução da Aplicação SmartHome Manual de Compilação/Execução da Aplicação SmartHome 1. Pré-Requisitos de Instalação 2. Passos para Executar a Aplicação 3. Instruções de Uso das Funcionalidades 4. Observações 1. Pré-Requisitos de Instalação

Leia mais

MANUAL PERFIL ADMINISTRADOR LOCAL

MANUAL PERFIL ADMINISTRADOR LOCAL PROJETO DE IMPLANTAÇÃO DE SISTEMA DE PONTO VWPONTO - MÓDULO COMUNICAÇÃO CLIENTE: TRIBUNAL DE JUSTIÇA DO ESTADO DE SÃO PAULO MANUAL PERFIL ADMINISTRADOR LOCAL Conteúdo do manual 1 MENU E SUBMENUS DEFINIDOS...

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Roteiro de Laboratório 11 Compilação Cruzada de um Kernel

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores

Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Sistemas Elétricos de Automação e Energia ENG10032 Microcontroladores Roteiro de Laboratório 11 Compilação Cruzada de um Kernel

Leia mais

TF TRABALHO FINAL REALIZAR TODAS AS ETAPAS DO LAB3 PARA UMA DAS FUNÇÕES ABAIXO 21/10/18

TF TRABALHO FINAL REALIZAR TODAS AS ETAPAS DO LAB3 PARA UMA DAS FUNÇÕES ABAIXO 21/10/18 TF TRABALHO FINAL REALIZAR TODAS AS ETAPAS DO LAB3 PARA UMA DAS FUNÇÕES ABAIXO 21/10/18 MÁXIMO 1 GRUPO POR FUNÇÃO: F1=A ------------------. B. (C + D) F2=A --------------------. (B + C + D) F3=(A. -----------------

Leia mais

Projeto de Conclusão em Circuitos Digitais

Projeto de Conclusão em Circuitos Digitais Universidade Federal do Rio Grande do Sul Instituto de Informática Projeto de Conclusão em Circuitos Digitais Parte I Somador e Multiplicador Autor: Bruno Silva Guedes Id.: 159033 INF01058 Circuitos Digitais

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Tutorial Índice de Potencial de Consumo - IPC

Tutorial Índice de Potencial de Consumo - IPC Tutorial Índice de Potencial de Consumo - IPC Autor: Vitor Pires Vencovsky 1. Introdução Este tutorial tem como objetivo realizar análises de mercado utilizando o software Gismaps Viewer e os Índices de

Leia mais

Ambiente de desenvolvimento

Ambiente de desenvolvimento Linguagem C Ambiente de desenvolvimento Um programa em C passa por seis fases até a execução: 1) Edição 2) Pré-processamento 3) Compilação 4) Linking 5) Carregamento 6) Execução Etapa 1: Criação do programa

Leia mais