a b s cout Tabela 1: tabela verdade do meio somador

Tamanho: px
Começar a partir da página:

Download "a b s cout Tabela 1: tabela verdade do meio somador"

Transcrição

1 APO7-29/04/10 Turma A Lisardo Sallaberry Kist César Garcia Daudt Introdução Nesta aula os alunos foram introduzidos ao programa de MaxPlus II. Devia-se executar a montagem de um meio-somador (half-adder), um somador completo (full-adder), um somador com ripple-carry de 4-bits e por fim um somador com ripple-carry de 12-bits. Os circuitos mais simples podiam, e deviam, ser utilizados nos circuitos mais complexos. Após completa a montagem, devia-se simular a execução do circuito com diversas entradas testes. Testou-se todas entradas possíveis para o meio-somador e somador completo, 16 entradas para o somador de 4-bits e 26 entradas para o de 12-bits. No somador de 12-bits devia-se também fazer uma análise temporal do circuito. Meio somador a b s cout Tabela 1: tabela verdade do meio somador Seu circuito é um (a XOR b) e (a AND b) como segue o diagrama abaixo. a e b são os bits para soma, s é o resultado e cout é o carry da operação: Diagrama 1: implementação do meio-somador

2 Figura 1: Simulação funcional do circuito meio-somador Somador completo a b cin s cout Tabela 2: tabela verdade do somador completo Ou seja, as sáidas do somador completo são logicamente representadas pelas funções: S=(a XOR b) XOR cin Cout=(a AND b) OR ((a XOR b) and Cin)) Abaixo segue a implementação deste circuito usando dois half-adders. ea e eb são os bits a serem somados, cin representa o carry-in, sout é o resultado da soma e Cout é o carry da operação. Diagrama 2: implementação do somador completo

3 Figura 2: Simulação funcional do circuito somador-completo Somador de 4-bits com ripple-carry Esse somador foi construído a partir de 4 somadores completos, ligando-se suas saídas de carry e realizando a soma bit a bit. Os barramentos ina e inb são os números da soma, fourcin é o carry-in, o barramento ans representa o resultado e fourcout é o carryout da operação. Diagrama 3: Somador de 4-bits com ripple carry Figuras 3.1: e 3.2: Simulação funcional do circuito somador 4 bits Os casos de teste foram escolhidos propositalmente para mostar a possibilidade de overflow, teste do Carry-in e, obviamente, validade no resultado das somas realizadas no circuito. Somador de 12-bits com ripple carry Este circuito foi construído a partir de 3 somadores de 4-bits, seguindo o mesmo método anterior de usar blocos mais simples implementados anterriormente. Como barramentos de entrada temos numa, numb, dozecin, respectivamente os operandos e o carry-in da operação, e como saída os barramentos ansdoze e dozecout que representam o resultado e o carry-out da operação. Seu diagrama final ficou:

4 Diagrama 4: Somador de 12-bits com ripple carry Figuras 4.1 e 4.2: teste funcional para somador de 12-bits Os 20 casos acima foram escolhidos com os mesmos critérios do somador de 4 bits. Ou seja, procuramos cobrir a validade da soma, casos de overflow e teste do Carry-in. Nos gráficos seguintes, mostramos alguns casos relevantes para análise temporal deste circuito. Nele, podemos perceber os atrasos de cada bit para formar o resultado final da soma de 12 bits.

5 Gráficos 1 e 2: Análise temporal do somador 12-bits Usando o Waveform Editor do Max Plus para calcular os dados com precisão, verificamos que o maior atraso foi no caso da soma Com o auxílio do programa, podemos medir um intervalo de tempo de 34,4 ns. Conforme salientado na imagem seqüente, o maior atraso ocorreu para se obter o reultado no sinal que representa o bit 11 do resultado final. Uma análise completa encontra-se na planília enviada ao professor após o experimento no laboratório. Grafico 3: Pior caso de atraso no somador de 12 bits: 34,4 ns

6 Conclusão A dupla não teve grande dificuldade. O programa era bastante amigável, apesar do grande números de ferramentas desconhecidas causar certa estranheza. Todas as implementações desses circuitos estavam bem explicadas no livro da disciplina. Houve um pequeno atraso no término desta atividade porque, além de nosso computador não estar previamente habilitado com todas as funções disponibilizadas ao Instituto de Informática (registro com a empresa fabricante do software), foi desativada a rede dos laboratórios, o que nos impossibilitou de continuar a realização das tarefas. Outro entrave foi o fato de descobrirmos depois do andamento de mais da metade dos experimentos que o programa apresentava um funcionamento inadequado para nós com nomes semelhantes, mesmo que fossem de blocos (circuitos) implementados distintamente.

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Descodificadores Codificadores Multiplexers Demultiplexers

Leia mais

PROJETO 3: SOMADOR DE QUATRO BITS EM TECNOLOGIA CMOS Para implementacão de um Somador completo é necessário seguir a tabela-verdade abaixo:

PROJETO 3: SOMADOR DE QUATRO BITS EM TECNOLOGIA CMOS Para implementacão de um Somador completo é necessário seguir a tabela-verdade abaixo: UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL Escola de Engenharia Departamento de Engenharia Elétrica ENG 04061 Circuitos Eletrônicos Integrados Atividade de Ensino à Distância Prof. Hamilton Klimach PROJETO

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Adição no Sistema Binário: É desenvolvida de forma idêntica ao sistema decimal; Apenas quatro casos podem ocorrer: Adição

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 6 Aula 7 e 8 a parte: Decodificador e Display 2ª etapa Projeto Prático Somador e Subtrator PROF. MSc. MÁRIO OLIVEIRA

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais Circuitos Combinacionais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui armazenamento de valores no circuito Valor dos sinais de saída depende

Leia mais

Organização de Computadores

Organização de Computadores Faculdades SENAC Sistemas de Informação 27 de fevereiro de 2008 Contextualizando Aritmética Binária Os Computadores e as calculadoras digitais realizam várias operações aritméticas sobre números representados

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: ULA. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: ULA. Prof. Dr. Marcos A. Simplicio Jr. versão: 3. PC 35 istemas Digitais I Circuitos Combinatórios locos ásicos: UL Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/26) daptado por Glauber (28) Unidade Lógica ritmética (UL) Um loco Lógico uncional que dispõe

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

CIRCUITOS SOMADORES = = =

CIRCUITOS SOMADORES = = = IRUITOS SOMADORES Os circuitos digitais que efetuam operações aritméticas devem processar os dados na forma binária, ou seja, devem executar as operações matemáticas com os números binários omo o sistema

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 09 3ª Etapa: Projeto Prático Correção Código BCD e Sinal ANEXO: Teste de Simulação Soma e Subtração PROF. MSc.

Leia mais

Teste 1 Sistemas Digitais - MEEC 2011/12 1

Teste 1 Sistemas Digitais - MEEC 2011/12 1 Teste Sistemas Digitais - MEEC /. [ val] Converta para base o número 7. Utilize o resultado obtido para converter o número para base 6. Justifique. Teste Sistemas Digitais - MEEC /. [ val] Considere a

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Somador completo Para melhor compreensão, vamos analisar o caso da soma Assim temos:

Somador completo Para melhor compreensão, vamos analisar o caso da soma Assim temos: Somador completo Para melhor compreensão, vamos analisar o caso da soma 1110 2 + 110 2. Assim temos: Somador completo Para soma de 2 números binários de mais algarismos, basta somarmos coluna a coluna,

Leia mais

XOR, Detecção de Erro, Comparadores

XOR, Detecção de Erro, Comparadores XOR, Detecção de Erro, Comparadores -input XOR gates Igual a porta OR, mas exclui o caso em que ambas as entradas são. Empregado em: códigos de verificação de erros comparadores aritmética XOR de múltiplas

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Aritmética Computacional - Inteiros - Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno Sumário A unidade lógico-aritmética Representação de números inteiros

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs)

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) João Paulo Baptista de Carvalho joao.carvalho@inesc-id.pt Circuitos Aritméticos Circuitos aritméticos são aqueles que realizam

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

INFRAESTRUTURA DE HARDWARE

INFRAESTRUTURA DE HARDWARE INFRAESTRUTURA DE HARDWARE LABORATÓRIOS 02: MODELAGEM DE UM COMPONENTE ADDER EM SYSTEMC 1. INTRODUÇÃO O objetivo deste laboratório é modelar o componente combinacional adder (adicionador) em SystemC. Um

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEE Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 10: Título: Sumário: ircuitos combinatórios: somadores, subtractores e comparadores Somadores, subtractores e comparadores.

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS

PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS UNIVERSIDADE FEDERAL DO PARANÁ CURSO DE ENGENHARIA ELÉTRICA PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS Somador de 8 bits com carry Orientandos: Allan Christian Krainski Ferrari Eduardo Delinski dos Santos

Leia mais

Arquitectura de Computadores I

Arquitectura de Computadores I Representação de Números e Aritmética Binária António M. Gonçalves Pinheiro Departamento de Física Covilhã - Portugal pinheiro@ubi.pt Base Binária Aritmética Binária Base Hexadecimal Base Octal Representação

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

ESPECIFICAÇÃO DO PROJETO (Primeira Unidade)

ESPECIFICAÇÃO DO PROJETO (Primeira Unidade) ESPECIFICAÇÃODOPROJETO(PrimeiraUnidade) ProjetodaULA OprojetodaULAprecisaseracopladoaumdecodificadorbinárioparadisplaydesete segmentosquetambémserádesenvolvido.esteprojetodaprimeiraunidadedeveser desenvolvidototalmentebaseadoemportaslógicas.

Leia mais

3 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse.

3 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse. UDESC/CCT/DEE Eletrônica Digital 2ª Lista de Exercícios 1 Utilizando o CircuitMaker, simule circuito abaixo e preencha sua tabela. Analise que circuito é esse. SET RESET Q Q/ OBS 0 0 0 1 1 0 1 1 2 Para

Leia mais

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Circuitos Aritméticos Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Circuitos Aritméticos Circuitos Aritméticos são aqueles que realizam operações

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Aula 14: Lógica e circuitos digitais

Aula 14: Lógica e circuitos digitais Aula 14: Lógica e circuitos digitais Circuitos combinacionais circuitos sequenciais Rodrigo Hausen hausen@usp.br 29 de setembro de 2011 http://cuco.pro.br/ach2034 Rodrigo Hausen (hausen@usp.br) Aula 14:

Leia mais

REGISTRADOR DE DESLOCAMENTOS

REGISTRADOR DE DESLOCAMENTOS REGISTRADOR DE DESLOCAMENTOS Exemplo : Construir um contador módulo, saída BCD-842, com Q D MSB, utilizando um registrador de deslocamentos de 4 bits, entrada paralela. Utilizar o CI-7494 e simular o resultado

Leia mais

Capítulo VI Circuitos Aritméticos

Capítulo VI Circuitos Aritméticos Capítulo VI Circuitos Aritméticos Introdução No capítulo anterior estudamos a soma e subtração de números binários. Neste capítulo estudaremos como as operações aritméticas de soma e subtração entre números

Leia mais

a) Obtenha a tabela verdade das operações com 01 bit

a) Obtenha a tabela verdade das operações com 01 bit 01. Deduza a obtenção de um circuito somador completo (FULL ADDER) e 01 bit seguindo os passos abaixo: a) Obtenha a tabela verdade das operações com 01 bit SOLUÇÃO NOS SLIDE b) Obtenha a expressão não

Leia mais

Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal

Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Circuitos Aritméticos Circuitos aritméticos são

Leia mais

Projetos de Decodificadores

Projetos de Decodificadores Projetos de Decodificadores Para construir decodificadores que passem de qualquer código para outro qualquer basta montarmos a tabela verdade simplificar as expressões de saída e implementarmos o circuito.

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos 3-May-8 PC 35 (PC5) istemas Digitais I Módulo Circuitos Combinatórios locos ásicos Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/6) locos básicos Multiplicadores UL Gerador/Detector de Paridade Exercícios

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Qualificadores. prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Qualificadores. prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Qualificadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 9 Introdução Como operações aritméticas podem informar o fluxo de controle

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 56 Suplemento à Aula -T Arquiteturas

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

1ª Questão (1,0 ponto)

1ª Questão (1,0 ponto) 1ª Questão (1,0 ponto) Um procedimento importante para a análise e utilização de circuitos usando amplificador operacional é a análise nodal usando transformada de Laplace. Esta questão tratará deste procedimento.

Leia mais

TUTORIAL PARA SIMULAÇÃO

TUTORIAL PARA SIMULAÇÃO TUTORIAL PARA SIMULAÇÃO Fernando Moraes Atualizado em 29/outubro/2012 O presente laboratório tem por objetivos: 1. Ilustrar o comportamento dos somadores vistos nas aulas teóricas 2. Introduzir as ferramentas

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00 Antes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem 4 variações distintas do teste: A,, C e D. iv. O

Leia mais

Circuitos para Aritmética Digital. Tiago Alves de Oliveira

Circuitos para Aritmética Digital. Tiago Alves de Oliveira Circuitos para Aritmética Digital Tiago Alves de Oliveira Introdução Uma func a o essencial da maioria dos computadores e calculadoras e a realizac a o de operac o es aritme ticas, que sa o realizadas

Leia mais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais 1 Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5 LISTA D XRCÍCIOS D N-671 2004 Matéria da prova é referente a toda à matéria. As listas de exercícios aplicadas durante as aulas são parte integrante desta lista de exercícios, além dos exercícios do livro

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Circuitos Aritméticos GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Universidade Federal de Pernambuco. Unidade Lógico-Aritmética (ULA) Somador BCD

Universidade Federal de Pernambuco. Unidade Lógico-Aritmética (ULA) Somador BCD Universidade Federal de Pernambuco CIn Centro de Informática IF675 Sistemas Digitais Unidade Lógico-Aritmética (ULA) Somador BCD Equipe: Bertha Maria Correia Andaluz (bmca) Larissa Navarro Passos de Araujo

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

3.9. Exercícios. A (booleano) A (depois do inversor) AND. B (depois da porta AND) A B. Exercício Resolvido 3.8.7

3.9. Exercícios. A (booleano) A (depois do inversor) AND. B (depois da porta AND) A B. Exercício Resolvido 3.8.7 lexandre Mendonça e Ricardo Zelenovsky 61 Exercício Resolvido 3.8.7 Fisicamente, uma porta lógica demora um determinado tempo para responder à variação num dos sinais de entrada. Por exemplo, um inversor

Leia mais

3. Portas Lógicas. Objetivos. Objetivos. Introdução. Circuitos Digitais 31/08/2014

3. Portas Lógicas. Objetivos. Objetivos. Introdução. Circuitos Digitais 31/08/2014 Objetivos 3. Portas Lógicas Descrever a operação do inversor, da porta AND e da porta OR Descrever a operação da porta NAND e da porta NOR Expressar a operação da função NOT e das portas AND, OR, NAND

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Projeto de Conclusão em Circuitos Digitais

Projeto de Conclusão em Circuitos Digitais Universidade Federal do Rio Grande do Sul Instituto de Informática Projeto de Conclusão em Circuitos Digitais Parte I Somador e Multiplicador Autor: Bruno Silva Guedes Id.: 159033 INF01058 Circuitos Digitais

Leia mais

UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores Laboratório: Somadores 30 de agosto de 2017

UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores Laboratório: Somadores 30 de agosto de 2017 UFPR Bacharelado em Ciência da Computação CI210 Projetos Digitais e Microprocessadores 2017-2 Laboratório: Somadores 30 de agosto de 2017 3 Somadores Objetivos: são três os objetivos deste laboratório:

Leia mais

1 a Lista de Exercícios

1 a Lista de Exercícios Observações gerais: Universidade Federal de Santa atarina epartamento de Informática e Estatística Bacharelado em iências da omputação INE 546 - Sistemas igitais - semestre 2/2 Prof. José Luís Güntzel

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,, C e D. iv. O teste

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

CIRCUITOS ARITMÉTICOS (Unidade 4)

CIRCUITOS ARITMÉTICOS (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ORGNIZÇÃO E RQUITETUR DE COMPUTDORE Circuitos Combinacionais Portas Lógicas Na ula nterior Introdução à Cleópatra Registradores principais Meu o prog em ssembly Linguagem alto nível (e.g. C, JV) C = +

Leia mais

Aritmética Binária e Caminho de Dados. Aritmética Binária Caminho de Dados

Aritmética Binária e Caminho de Dados. Aritmética Binária Caminho de Dados ritmética Binária Caminho de Dados Ivanildo Miranda Octávio ugusto Deiroz Representação Binárias Representação Hexadecimal Números sem Sinal Números com Sinal Operações ritméticas (soma e subtração) com

Leia mais

Circuitos aritméticos

Circuitos aritméticos Circuitos aritméticos Circuitos aritméticos é o nome porque são conhecidos os circuitos lógicos que realizam operações aritméticas. Repare-se que quando se faz a operação de soma sobre dois números, por

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA RELATÓRIO CONTADOR DE 6 BITS PROGRAMÁVEL Trabalho apresentado à disciplina de Projeto de Circuitos Integrados Digitais,

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital

Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital Graduação em Sistemas de Informação Prof. Dr. Daniel A. Furtado Prof.

Leia mais

364 SISTEMAS DIGITAIS

364 SISTEMAS DIGITAIS 364 SISTEMAS DIGITAIS APLICAÇÕES EM SISTEMAS DIGITAIS Nesta seção de aplicações em sistemas digitais, começamos a trabalhar com um sistema de controle de semáforo de trânsito. Estabelecemos aqui os requisitos

Leia mais

Somador de quatro bits terá um total de: Esquema interno do somador é apresentado a seguir.

Somador de quatro bits terá um total de: Esquema interno do somador é apresentado a seguir. 6.4 Somadores Hierárquicos com antecipação do bit de transporte págs. 357 a 361. Introdução: A adição de dois números é uma operação comum em circuitos digitais, de modo que faz sentido criar um somador

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Projeto de Sistemas Digitais (ULA e Somador BCD)

Projeto de Sistemas Digitais (ULA e Somador BCD) UNIVERSIDADE FEDERAL DE PERNAMBUCO CIN-CENTRO DE INFORMÁTICA DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO ALINE FRANCIELE CORREIA DA SILVA - AFCS BRUNO SOARES DA SILVA BSS3 MARIAMA CELI SERAFIM DE OLIVEIRA MCSO

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 4 - Projetos de Circuitos Combinacionais Aritméticos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais