Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Tamanho: px
Começar a partir da página:

Download "Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012"

Transcrição

1 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1 Hora: 12:30-14:00 Nome do Docente: Aleksandar Ilic

2 1.INTRODUÇÃO O objetivo deste trabalho laboratorial foi entrarmos em contacto com ferramentas de simulação e prototipagem de circuitos digitais. Para tal utilizámos uma ferramenta ISE da Xilinx para simular um circuito constituído por elementos básicos de memória (flip-flops) agregados e circuitos aritméticos. 2.RESPOSTA ÀS QUESTÕES DO ENUNCIADO 1. Apresente a tabela de verdade deste circuito Através da observação do circuito NAND LOGIC elaborámos a seguinte tabela de verdade FIGURA 2- CIRCUITO NAND LOGIC 2. Apresente a expressão mínima disjuntiva da função Y(X1,X2,X3). FIGURA 1-TABELA DE VERDADE A partir da tabela de verdade construímos o mapa de karnaugh e daí retirámos a expressão mínima. FIGURA 3-MAPA DE KARNAGH MINTERMOS 3. Qual a operação realizada por este circuito? É possível substituir este circuito por um único componente? Operação realizada: Através de dois bits de seleção (X1,X2) a função Y toma um de quatro valores diferentes. figura. É possível substituir o circuito por um MUX 4:1 como o da FIGURA 4-IMPLEMENTAÇÃO DA FUNÇÃO COM UM MUX 2

3 4. Observe e registe os valores das entradas e saída (s) e compare-os com os valores esperados na tabela da verdade. Os valores registados da entrada e saída (S) são iguais aos valores esperados na tabela de verdade. Como podemos verificar, os valores da tabela de verdade acima representada correspondem com os valores obtidos no diagrama. FIGURA 5-DIAGRAMA TEMPORAL NAND-LOGIC 5. Analise teoricamente o circuito e construa a tabela de verdade do mesmo, indicando, para cada combinação da entrada E e do estado atual (i.e., bits Q1 eq0 à saída dos FFs antes do flanco de relógio), o estado seguinte (i.e., o valor à saída dos flip-flops após o flanco de relógio) e o valor da saída S. Justifique. O circuito representado na figura 4 do enunciado do laboratório é formado pela função Nand Logic, anteriormente especificada, por dois Flip Flops e por uma porta XOR. Os valores das entradas dos Flips Flops (D0 e D1) são definidos pela função NAND LOGIC. Posteriormente, as saídas dos Flip Flops (Q0 e Q1) vão juntar-se numa porta XOR e assim obtém-se o valor da função S. FIGURA 6-TABELA DE TRANSIÇÃO DE ESTADOS 6. Indique qual a função (i.e., para que serve) da entrada E e qual o estado dos flip-flops (FFs) quando E=1 ou E=0. Justifique. Através da análise da tabela de verdade obtida, verificamos que quando a entrada E tem valor 0, o valor da saída dos Flip Flops é igual ao estado anterior de Q0 (por exemplo, quando E=0 e o valor anterior das entradas Q0 e Q1 é 0, 1, respetivamente, verificamos que, após o período de relógio, o valor das entradas Q0 e Q1 é 1, 1, ou seja, igual a Q0 anterior.). Quando o valor de E é 1, verificamos que o valor da entrada Q1 após o tempo de relógio é igual ao valor do estado anterior de Q0 negado (por exemplo, quando E=1 e Q0 e Q1 anteriores são iguais a 00, o valor de Q0 e Q1 posteriores é 0, 1, respetivamente.). 3

4 7. Complete o seguinte diagrama temporal, de acordo com a análise que realizou nas perguntas anteriores (considere que os tempos de propagação dos FFs e das portas lógicas são desprezáveis face ao período de relógio). Justifique. Observámos o circuito NAND LOGIC e a tabela de verdade e preenchemos o seguinte circuito temporal: FIGURA 7-DIAGRAMA TEMPORAL 8. De acordo com o diagrama temporal e com a tabela de verdade previamente preenchidos, complete o diagrama de estados indicando o estado dos flip-flops (Q1,Q0) - dentro de cada círculo - e o valor da entrada/saída (E/S) que identificam a transição para o estado seguinte dos flip-flops (i.e., após o flanco de relógio) - nas setas. FIGURA 8-DIAGRAM DE ESTADOS 9. Simule o circuito e indique qual o funcionamento do mesmo nos flancos de relógio quando o sinal E se encontra nos estados lógicos 0 e 1. Observe os valores das entradas e saída (s) e compare-os com os valores esperados na tabela da verdade. Após a simulação do circuito sequencial (test_sequential.tbw) verificámos que este estava de acordo com a tabela de verdade obtida. FIGURA 9-DIAGRAMA TEMPORAL SEQUENCIAL 4

5 10. Resolva todos erros reportados e apresente no relatório uma breve descrição da causa de cada um deles. Erro ponto e vírgula - na linha 107 faltava um ponto e virgula para terminar a ordem da frase. Erro componente num comentário - na linha 93. o componente XOR estava escrito em comentário. Erro somador 4 bits - na linha 81 o operando B do somador apenas possuía 3 bits. Erro falta do is - na linha 30 faltava um is para definir a unidade aritmética como componente. 11. Analise o conteúdo do ficheiro unidade_aritmetica.vhd e preencha os comentários de acordo com o que é pedido nas respetivas secções identificadas por "----". A resposta a esta pergunta encontra-se no final do relatório. 12. Apresente o logigrama da unidade aritmética indicando todas as entradas e saídas dos componentes, todos os sinais internos e largura de cada bus. Qual é a operação aritmética realizada? Através da criação do logigrama da unidade aritmética e da sua análise determinou-se que a operação que este realizava era A/2+5-B. FIGURA 10-LOGIGRAMA UNIDADE ARITMÉTICA 13. Apresente os cálculos relativos às constantes c0 e c1 e a respetiva função aritmética associada. Para descobrirmos os valores de K 1 e K 0 dividimos, de entre membros do grupo, o maior número de aluno por 2 e o menor número de aluno por 4, respetivamente. Divisão por 2 Resto Divisão por 4 Resto K K 0 obter o c0 realizamos a operação c0= K0mod3 +1=1 Para Para obter oc1 realizámos a operação ( 1) ^K1=1 Assim a operação aritmética associada é Z=A+B. 5

6 14. Desenhe o logigrama do circuito modificado. De forma a implementar a função Z=A+B foi necessário alterar o circuito original. Assim, removemos o shift right (divisão por 2) do operando A e alterámos uma das entradas da porta XOR para 000 de forma que o valor B se mantivesse. Alterámos o barramento do sinal B para fazendo uma concatenação com o sinal passando assim o sinal B a ter 8bits. Por último alterámos o Cin do Low Adder para 0 fazendo com que a função final seja Z. FIGURA 11-LOGIRAMA UNIDADE ARITMÉTICA ALTERADO 15. Efetue as modificações necessárias ao circuito descrito no ficheiro unidade_aritmetica.vhd de forma a implementar a nova função aritmética e atualize a secção dos comentários. Depois de construído o logigrama modificado efetuámos as modificações necessárias no ficheiro VHDL da unidade aritmética e conseguinte atualização dos comentários. Este ficheiro (unidade_aritmetica_alteradovhd) encontra-se em anexo no final do relatório. FIGURA 12-DIAGRAMA TEMPORAL UNIDADE ARITMÉTICA ALTERADO 6

7 3.IMPLEMENTAÇÃO DO CIRCUITO Implementámos o circuito na placa de prototipagem e mostrámos ao docente de laboratório que verificou se o circuito estava a funcionar corretamente. Para tal, utilizámos o software Adept da Digilent. Na janela FPGA introduzimos o ficheiro.bit previamente adquirido através da plataforma Xilinx e verificámos que o funcionamento do circuito correspondia à simulação do ficheiro lab3_circuito. Ao recebermos a placa de prototipagem começámos por conectá-la ao computador, implementar o programa e definir o valor do operando A com o valor 4 em binário ( 100 ), visto que este é constante ao longo do tempo. O operando B toma os valores 0, 3, 6 e 5 em decimal e são estes valores que são observados nos LEDs da placa, em binário. O display apresenta o resultado da operação A+B em hexadecimal com 8 bits obtendo-se assim os valores 04, 01, A CONCLUSÃO Este trabalho laboratorial deu-nos a conhecer a plataforma de trabalho do ISE da Xilinx e as placas de prototipagem Basys2. Para descrever o circuito utilizámos a linguagem de descrição de hardware VHDL, linguagem esta que é utilizada para facilitar o design de circuitos digitais. O objetivo final era a criação de um circuito sequencial que realizasse uma operação aritmética sobre 2 números, A e B. O operando A era constante enquanto o operando B variava de acordo com o CLK. No final obtivemos a expressão da operação realizada pelo circuito, A+B. Posteriormente implementámos o circuito na placa de prototipagem e verificámos que os valores obtidos no display correspondiam aos valores da função S que representava a operação A+B. Comparámos estes valores com os valores obtidos no diagrama temporal e verificámos que os valores eram iguais. Este trabalho requisitou uma grande dedicação da nossa parte pois o Xilinx é uma ferramenta complexa exige grande concentração para evitar a ocorrência de erros. 7

8 Códigos: 8

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 Laboratório 1 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1 Hora: 12:30-14:00

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 Laboratório 1 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1 Hora: 12:30-14:00

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 2 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de h3m. iii. O exame

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de hm. iii. O exame contempla todas as perguntas

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Laboratório 4 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 4 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 4 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por páginas, e tem a duração de h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00 Antes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem 4 variações distintas do teste: A,, C e D. iv. O

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,, C e D. iv. O teste

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 27 de Janeiro de 22 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 1 e tem a duração de 1h3m. iii. O exame contempla todas

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ITEM DIGITI MEEC / LEIC- ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,,

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00 SISTEMS DIGITIS - de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 7 perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 12 páginas. ii. O teste contempla as perguntas 5, 6, 7, e 9 e tem a duração de 1h3m. iii. O exame contempla todas as

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Pedro Tomás Horácio Neto

Pedro Tomás Horácio Neto MEE/MEFT/MEAer 5/6 Pedro Tomás Horácio Neto 5/6 APÍTULO I ONVERSÃO DE NÚMEROS Problema.. Escreva as potências de desde - até 5, e ainda 5 e 5. Problema.. a) onverta para base o número (). b) onverta ()

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 7-8 de Janeiro de 8, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00 SISTEMS DIGITIS 5-6 de Novembro de 5, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais ª Teste de Novembro de 005 Antes de iniciar o teste leia atentamente esta folha de rosto. Duração

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo.

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo. Exame Sistemas igitais - MEE 8/9. [,5 val] onverta (justificando) o número () para: a) Hexadecimal b) ecimal c) {{{ = 5(6) 5 9 = + + + + + = 5 + 8+ 6 + 6 + + = 75 7 () 6 75 7 5 ( ) = {{{ ( ). [,5 val]

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2016, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2016, 19:00 ITEM DIGITI 6-7 8 de Novembro de 6, 9: TETE ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H)

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) 1 UFCD 6024 Circuitos lógicos Carga horária: 25 horas Caracterizar as diferentes bases de numeração. Representar números nas bases

Leia mais

Lista de Materiais. Laboratório P111 BC Resistor ¼ W

Lista de Materiais. Laboratório P111 BC Resistor ¼ W Lista de Materiais Material Material equivalente CMOS Quantidade (máxima por bancada por experiência) Laboratório P111 C547 04 Resistor ¼ W 04 5,6k Resistor ¼ W 02 470 Resistor ¼ W 04 47k Resistor ¼ W

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00 SISTEMS DIGITIS 5-6 de Novembro de 5, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO

SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO Identificação dos Alunos: Nome: Diogo Morgado Número: 84032 Nome: Valter Piedade Número: 84195 Turno de Laboratório: SD4517L05 Grupo:

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2016, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2016, 19:00 ITEM DIGITI 6-7 8 de Novembro de 6, 9: TETE ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 5-6 8 de Janeiro de 6, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de ª Época - 24 de Janeiro de 2 Antes de começar

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Análise e Projeto de Circuitos Combinacionais e Sequenciais

Análise e Projeto de Circuitos Combinacionais e Sequenciais Análise e Projeto de Circuitos Combinacionais e Sequenciais Referência bibliográfica: - Digital Design: Principles and Practices - Wakerly - Elementos de Eletrônica Digital Idoeta e Capuano - Introduction

Leia mais

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 7 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:, B, C e D. iv. O teste

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Abril de 2016, 18:00

SISTEMAS DIGITAIS MEFT/MEAer de Abril de 2016, 18:00 SISTEMS DIGITIS MEFT/MEer 5-6 9 de bril de 6, 8: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 6 perguntas, distribuídas em páginas. iii. Existem

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais