Projetos de Circuitos Digitais em VHDL e FPGA

Tamanho: px
Começar a partir da página:

Download "Projetos de Circuitos Digitais em VHDL e FPGA"

Transcrição

1 Projetos de Circuitos Digitais em VHDL e FPGA Cap. 4 - Projetos de Circuitos Combinacionais Aritméticos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 13 de fevereiro de 2019

2 Objetivo Objetivo Principal Descrever os fundamentos para a criação de circuitos combinacionais aritméticos multibit. Objetivos Específicos Projeto de Somadores. Ex.: Carry-Ripple, Carry-Lookahead. Projeto de Somadores/Subtratores sem e com sinal. Projeto de Multiplicadores. Ex.: Multiplicação via técnica pipeline. Projeto de Divisores. (DEPEL/UFSJ) 2 / 27

3 Somador Carry-Ripple Contêm um conjunto de FAs (Full-Adders). Nesse circuito, o carry tem que se propagar serialmente por todos os estágios. Arquitetura lenta. Por outro lado, esse é geralmente o somador mais econômico em termos de área de silício e consumo de energia. Figura 1: Somador Carry-Ripple. (DEPEL/UFSJ) 3 / 27

4 Somador Carry-Ripple Ao observar a tabela verdade, é possível obter as seguintes expressões. s = a b cin (1) cout = a b + a cin + b cin (2) Figura 2: Somador Full-Adder. (DEPEL/UFSJ) 4 / 27

5 Somador Carry-Ripple - Código em VHDL (DEPEL/UFSJ) 5 / 27

6 Somador Carry-Lookahead Somador com performance superior ao somador carry-ripple. Utiliza um número maior de componentes no FPGA, comparado ao somador anterior. (DEPEL/UFSJ) 6 / 27

7 Somador Carry-Lookahead Figura 4: Somador Carry-Lookahead. (DEPEL/UFSJ) 7 / 27

8 Somador Carry-Lookahead As equações que descrevem o circuito são: G i = a i b i (3) P i = a i b i (4) c 1 = G 0 + P 0 c 0 (5) c 2 = G 1 + P 1 G 0 + P 1 P 0 c 0 (6) c 3 = G 2 + P 2 G 1 + P 2 P 1 G 0 + P 2 P 1 P 0 c 0 (7) c 4 = G 3 + P 3 G 2 + P 3 P 2 G 1 + P 3 P 2 P 1 G 0 + P 3 P 2 P 1 P 0 c 0 (8) (DEPEL/UFSJ) 8 / 27

9 Somador Carry-Lookahead - 4 bits Figura 5: Somador Carry-Ripple. (DEPEL/UFSJ) 9 / 27

10 Somador Carry-Lookahead - 4 bits Figura 6: Somador Carry-Ripple. (DEPEL/UFSJ) 10 / 27

11 Somador Carry-Lookahead - 32 bits Figura 7: Somador Carry-Ripple de 32 bits (Parte 1). (DEPEL/UFSJ) 11 / 27

12 Somador Carry-Lookahead - 32 bits Figura 8: Somador Carry-Ripple de 32 bits (Parte 2). (DEPEL/UFSJ) 12 / 27

13 Somadores/Subtratores Sem e Com Sinal Quando usar a linguagem VHDL na construção de somadores/subtratores, deve-se preocupar apenas com os tipos de dados. Os operadores de adição (+) e subtração (-) já estão definidos nas bibliotecas padronizadas de VHDL. (DEPEL/UFSJ) 13 / 27

14 Somador/Subtrator Com Entradas e Saídas do Tipo Inteiro Figura 9: Código de um somador e subtrator. (DEPEL/UFSJ) 14 / 27

15 Somador/Subtrator Com Entradas e Saídas do Tipo STD LOGIC VECTOR Deve deixar claro a natureza das entradas e saídas (SIGNED ou UNSIGNED). Figura 10: Código de um somador e subtrator. (DEPEL/UFSJ) 15 / 27

16 Multiplicadores/Divisores Sem e Com Sinal As FPGAs já possuem multiplicadores e divisores embarcados. Figura 11: Representações das operações de multiplicação e divisão. (DEPEL/UFSJ) 16 / 27

17 Multiplicador/Divisor Sem Sinal Com I/Os do Tipo Inteiro Figura 12: Código de um multiplicador/divisor sem sinal. (DEPEL/UFSJ) 17 / 27

18 Multiplicador/Divisor Com Sinal Com I/Os do Tipo Inteiro Figura 13: Código de um multiplicador/divisor com sinal. (DEPEL/UFSJ) 18 / 27

19 Multiplicador/Divisor Com Sinal Com I/Os do Tipo STD LOGIC VECTOR Deve deixar claro a natureza das entradas e saídas (SIGNED ou UNSIGNED). Figura 14: Código de multiplicação/divisão proposto. (DEPEL/UFSJ) 19 / 27

20 Multiplicação via técnica pipeline Técnica que consome mais componentes da FPGA comparado a multiplicação convencional. Frequência de operação é superior quando comparado com outras técnicas. (DEPEL/UFSJ) 20 / 27

21 Multiplicação via técnica pipeline Figura 15: Multiplicação de entradas com 32 bits. (DEPEL/UFSJ) 21 / 27

22 Multiplicação via técnica pipeline - Código Figura 16: Código de multiplicação via pipeline com entradas de 32 bits - Parte 1. (DEPEL/UFSJ) 22 / 27

23 Multiplicação via técnica pipeline - Código Figura 17: Código de multiplicação via pipeline com entradas de 32 bits - Parte 2. (DEPEL/UFSJ) 23 / 27

24 Multiplicação via técnica pipeline - Código Figura 18: Código de multiplicação via pipeline com entradas de 32 bits - Parte 3. (DEPEL/UFSJ) 24 / 27

25 Multiplicação via técnica pipeline - Código Figura 19: Código de multiplicação via pipeline com entradas de 32 bits - Parte 4. (DEPEL/UFSJ) 25 / 27

26 Exercício Projetar uma unidade lógica aritmética (ULA). A partir de uma instrução, dada pelo OPCODE, a ULA deve realizar uma das seguintes operações: adição, subtração, multiplicação e divisão. Figura 20: Unidade Lógica Aritmética. (DEPEL/UFSJ) 26 / 27

27 Referências PEDRONI, Volnei A. Digital electronics and design with VHDL. Morgan Kaufmann, PEDRONI, Volnei A. Eletrônica digital moderna e VHDL. Rio de Janeiro, RJ: Elsevier, Surf VHDL. How to Implement a Pipeline Multiplier in VHDL Disponível em: < Acesso em: 02/10/2018. (DEPEL/UFSJ) 27 / 27

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 5 - Projetos de Circuitos Sequenciais com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Aula 8 - Unidade lógica aritmética e Multiplicadores

Aula 8 - Unidade lógica aritmética e Multiplicadores Aula 8 - Unidade lógica aritmética e Multiplicadores Prof. Renan Sebem Disciplina de Eletrônica Digital Graduação em Engenharia Elétrica Universidade do Estado de Santa Catarina Joinville-SC Brasil 15

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 2 - Introdução ao VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 13 de março de 2019 Objetivo

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Adição no Sistema Binário: É desenvolvida de forma idêntica ao sistema decimal; Apenas quatro casos podem ocorrer: Adição

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica e Eletrônica CURSO: Engenharia Eletrônica DISCIPLINA: Tópico Avançado em sistemas Digitais CÓDIGO: EEL7123 CRÉDITOS: 04 CARGA HORÁRIA: 72 horas-aula OFERTA: 15 vagas Engenharia

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Aritmética Computacional - Inteiros - Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno Sumário A unidade lógico-aritmética Representação de números inteiros

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

CIRCUITOS SOMADORES = = =

CIRCUITOS SOMADORES = = = IRUITOS SOMADORES Os circuitos digitais que efetuam operações aritméticas devem processar os dados na forma binária, ou seja, devem executar as operações matemáticas com os números binários omo o sistema

Leia mais

Circuitos Aritméticos I

Circuitos Aritméticos I Circuitos ritméticos I José Costa Introdução à rquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto uperior Técnico 3--9 José Costa (DEI/IT) Circuitos ritméticos I umário omadores

Leia mais

Aritmética Computacional (Multiplicação e Divisão) Capítulo 4

Aritmética Computacional (Multiplicação e Divisão) Capítulo 4 Aritmética Computacional (Multiplicação e Divisão) Capítulo 4 Ch4 1 Problema: ripple carry adder é lento Uma ULA de 3 bits é tão rápida quanto uma ULA de 1 bit? atraso (ent soma ou carry G) n estágios

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Circuitos Aritméticos Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Circuitos Aritméticos Circuitos Aritméticos são aqueles que realizam operações

Leia mais

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Lista de Exemplos e Exercícios (Nomeados) Contidos no Livro

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Lista de Exemplos e Exercícios (Nomeados) Contidos no Livro Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, 2010 Tradução (com revisão, atualização e ampliação) de Digital Electronics and Design with VHDL Elsevier / Morgan Kaufmann, USA, 2008 Lista

Leia mais

Operações com números binários

Operações com números binários Operações com números binários Operações com sistemas de numeração Da mesma forma que se opera com os números decimais (somar, subtrair, multiplicar e dividir) é possível fazer essas mesmas operações com

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Operações aritméticas Prof. Rodrigo de Paula Rodrigues Valores lógicos possíveis 0 1 x z nível baixo, nível alto, nível

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Circuitos Lógicos. Capítulo 9 Aritmérica Digital: Operações e Circuitos

Circuitos Lógicos. Capítulo 9 Aritmérica Digital: Operações e Circuitos UNIVERSIDADE FEDERAL DE SÃO JOÃO DEL REI Circuitos Lógicos Capítulo 9 Aritmérica Digital: Operações e Circuitos Prof. Erivelton Geraldo Nepomuceno http://www.ufsj.edu.br/nepomuceno nepomuceno@ufsj.edu.br

Leia mais

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Conteúdo

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Conteúdo Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, 2010 Tradução (com revisão, atualização e ampliação) de Digital Electronics and Design with VHDL Elsevier / Morgan Kaufmann, USA, 2008 Conteúdo

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Aula 14: Lógica e circuitos digitais

Aula 14: Lógica e circuitos digitais Aula 14: Lógica e circuitos digitais Circuitos combinacionais circuitos sequenciais Rodrigo Hausen hausen@usp.br 29 de setembro de 2011 http://cuco.pro.br/ach2034 Rodrigo Hausen (hausen@usp.br) Aula 14:

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais Circuitos Combinacionais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui armazenamento de valores no circuito Valor dos sinais de saída depende

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica ELT52 Eletrônica Digital I Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 9 Circuitos aritméticos Parte 2/2 Aritmética BCD e ULA de Paula Rodrigues Circuitos aritméticos

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

XOR, Detecção de Erro, Comparadores

XOR, Detecção de Erro, Comparadores XOR, Detecção de Erro, Comparadores -input XOR gates Igual a porta OR, mas exclui o caso em que ambas as entradas são. Empregado em: códigos de verificação de erros comparadores aritmética XOR de múltiplas

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 56 Suplemento à Aula -T Arquiteturas

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais 23/abril/2019 Introdução à Linguagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

ELT502 Eletrônica Digital I

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Aula 8 Circuitos aritméticos Parte /2 Circuitos aritméticos de soma e subtração de Paula Rodrigues Circuitos aritméticos Contexto

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Organização de Sistemas de Computadores

Organização de Sistemas de Computadores Organização de Sistemas de Computadores Cap. 2 (Tanenbaum), Cap. 3 (Weber) 2.1 Processadores 1 CPU UC = buscar instruções na memória principal e determinar o seu tipo ULA = adição e AND Registradores =

Leia mais

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos Eletrônica Digital Projeto de Circuitos Combinacionais Alex Vidigal Bastos Introdução O circuito combinacional é aquele em que a saída depende única e exclusivamente das combinações entre as variáveis

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

Somador completo Para melhor compreensão, vamos analisar o caso da soma Assim temos:

Somador completo Para melhor compreensão, vamos analisar o caso da soma Assim temos: Somador completo Para melhor compreensão, vamos analisar o caso da soma 1110 2 + 110 2. Assim temos: Somador completo Para soma de 2 números binários de mais algarismos, basta somarmos coluna a coluna,

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 5/abril/29 CIRCUITOS COMBINACIONAIS Circuitos Combinacionais Um circuito combinacional consiste em portas lógicas cujas saídas, em qualquer momento, são determinadas pela

Leia mais

Disciplina de Organização de Computadores I

Disciplina de Organização de Computadores I USP - SSC-6 Eng. Comp. T / 2-2 USP - ICMC - SSC SSC 6 - Eng. Comp. - 2o. Semestre 2 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal: http://www.icmc.usp.br/~fosorio/

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Plano de Ensino de Disciplina Engenharia Elétrica

Plano de Ensino de Disciplina Engenharia Elétrica Plano de Ensino de Disciplina - 2016 Engenharia Elétrica Disciplina: SISTEMAS DIGITAIS 1 SD 1 Código: 8507 Carga Horária Semanal: Teoria: 02 horas Laboratório: 02 horas Carga Horária Semestral: Teoria:

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Circuitos Aritméticos GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

EXPERIMENTOS COM SOMADORES RÁPIDOS PARA USO NA DCT 2-D. Roger Endrigo Carvalho Porto, Luciano Volcan Agostini

EXPERIMENTOS COM SOMADORES RÁPIDOS PARA USO NA DCT 2-D. Roger Endrigo Carvalho Porto, Luciano Volcan Agostini EXPERIMENTOS COM SOMADORES RÁPIDOS PARA USO NA DCT 2-D Roger Endrigo Carvalho Porto, Luciano Volcan Agostini Grupo de Arquiteturas e Circuitos Integrados DMEC Universidade Federal de Pelotas (UFPEL) Caixa

Leia mais

Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica. Eletrônica Digital. Plano de Ensino 2015_2

Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica. Eletrônica Digital. Plano de Ensino 2015_2 Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Eletrônica Digital Plano de Ensino 2015_2 Prof. Ivan Sebastião de Souza e Silva www.ufpa.br/ivan ivan@ufpa.br Eletrônica

Leia mais

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Conforme discutido na última aula, cada operação lógica possui sua própria tabela verdade. A seguir será apresentado o conjunto básico de portas lógicas

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Lógica Computacional Aplicada. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Lógica Computacional Aplicada. Prof. Dr. Fabian Vargas. Índice Operações Aritméticas Básicas 1. Introdução 1.1. Notação em Complemento de 2 1.2. Overflow 2. Operação de Adição 3. Operação de Subtração 4. Operação de Multiplicação 5. Operação de Divisão Álgebra

Leia mais

IMPACTOS DO USO DE DIFERENTES ARQUITETURAS DE SOMADORES EM FPGAS ALTERA

IMPACTOS DO USO DE DIFERENTES ARQUITETURAS DE SOMADORES EM FPGAS ALTERA IMPACTOS DO USO DE DIFERENTES ARQUITETURAS DE SOMADORES EM FPGAS ALTERA Marcelo S. Porto, André M. C. Silva, Roger E. C. Porto, José Luís A. Güntzel, Luciano V. Agostini Grupo de Arquiteturas e Circuitos

Leia mais

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI FACULDADE FUCAPI Eletrônica Digital Circuitos Combinacionais, M.Sc. Doutorando em Informática (UFAM) Mestre em Engenharia Elétrica (UFAM) Engenheiro de Telecomunicações (FUCAPI) Famílias Lógicas 2 Famílias

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Capítulo 04 : Sistemas Numéricos

Capítulo 04 : Sistemas Numéricos Departamento de Engenharia Elétrica FEIS - UNESP Capítulo 04 : Sistemas Numéricos 1.1 - Representação de Quantidades Numéricas Analógica Digital 1.2 - Sistemas Numéricos 1. 3 1.2 - Sistemas Numéricos 1.2

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 09 3ª Etapa: Projeto Prático Correção Código BCD e Sinal ANEXO: Teste de Simulação Soma e Subtração PROF. MSc.

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

Circuitos Digitais Cap. 5

Circuitos Digitais Cap. 5 Circuitos Digitais Cap. 5 Prof. José Maria P. de Menezes Jr. Objetivos Aritmética Digital Adição Binária Subtração Binária Representação de números com sinal Complemento de 2 Negação Subtração como soma

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Introdução à Computação A Informação

Leia mais

Circuitos Digitais. Conteúdo. Meio Somador. Circuitos Aritméticos. Meio Somador. Meio Somador. Circuitos Aritméticos. Ciência da Computação

Circuitos Digitais. Conteúdo. Meio Somador. Circuitos Aritméticos. Meio Somador. Meio Somador. Circuitos Aritméticos. Ciência da Computação Ciência da Computação Prof. ergio Ribeiro Conteúdo omador/ Exercícios 2 Circuitos aritméticos circuitos combinacionais de finalidade específica presentes em sistemas digitais. ão utilizados para construir

Leia mais

Uma Unidade Lógica e Aritmética Reversível

Uma Unidade Lógica e Aritmética Reversível Uma Unidade Lógica e Aritmética Reversível Wilson Rosa de Oliveira DEINFO / UFRPE wrdo@ufrpe.br Luis Antônio Brasil Kowada PESC / COPPE / UFRJ kowada@ufrj.br Amanda Leonel Nascimento DSC / POLI / UPE aln@dsc.upe.br

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

Exploração do Espaço de Projeto da DCT 2-D de um Compressor de Imagens JPEG

Exploração do Espaço de Projeto da DCT 2-D de um Compressor de Imagens JPEG Exploração do Espaço de Projeto da DCT 2-D de um Compressor de Imagens JPEG Roger Endrigo Carvalho Porto, Luciano Volcan Agostini GACI - Grupo de Arquiteturas e Circuitos Integrados Departamento de Matemática,

Leia mais

Kamila Rose da Silva. Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis

Kamila Rose da Silva. Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis Kamila Rose da Silva Estudo de Circuitos Aritméticos e Implementação em Dispositivos Lógicos Programáveis São José SC agosto / 2016 Kamila Rose da Silva Estudo de Circuitos Aritméticos e Implementação

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Projecto Low-Power. Onde se consome energia?

Projecto Low-Power. Onde se consome energia? Projecto Low-Power Importância redução de custos (package, fonte alimentação, ventilação,...) poupança de energia (protecção ambiental) autonomia de equipamentos portáteis (telemóveis, GPS, PDAs,...) tecnologia

Leia mais

Arquitetura de Sistemas Digitais

Arquitetura de Sistemas Digitais Universidade Federal do Amazonas Faculdade de Tecnologia Departamento de Eletrônica e Computação Arquitetura de Sistemas Digitais Lucas Cordeiro lucascordeiro@ufam.edu.br Notas de Aula Os slides deste

Leia mais

Módulo 3 Circuitos Combinatórios

Módulo 3 Circuitos Combinatórios 1 Sistemas Digitais e Arquitetura de Computadores Módulo 3 Circuitos Combinatórios 1. Visão geral 2017/2018 2 Introdução A evolução das portas lógicas para a constituição dos circuitos digitais combinatórios

Leia mais

Arquitetura de Computadores. Professor: Vilson Heck Junior (Material: Douglas Juliani)

Arquitetura de Computadores. Professor: Vilson Heck Junior (Material: Douglas Juliani) Arquitetura de Computadores Professor: Vilson Heck Junior (Material: Douglas Juliani) Agenda Conceitos Componentes Funcionamento ou tarefas Otimização e desempenho Conceitos Componente de Hardware que

Leia mais

Circuitos Combinacionais Lógicos

Circuitos Combinacionais Lógicos Circuitos Combinacionais Lógicos Pedroni Capítulo 11 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/21 Conteúdo programático 1 Circuitos Combinacionais

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

Circuitos Lógicos Aula 22

Circuitos Lógicos Aula 22 Circuitos Lógicos Aula 22 Aula passada Armazenamento e transferência Paralela x Serial Divisão de frequência Contador Microprocessador Aula de hoje Aritmética binária Representação binária com sinal Complemento

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos 3-May-8 PC 35 (PC5) istemas Digitais I Módulo Circuitos Combinatórios locos ásicos Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/6) locos básicos Multiplicadores UL Gerador/Detector de Paridade Exercícios

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti Dispositivos Lógicos Programáveis Prof. Luiz Fernando Copetti copetti@utfpr.edu.br luizcopetti@gmx.de Luiz Fernando Copetti Mestre em Ciências UTFPR 2008 Engenheiro Eletrônico UTFPR - 1991 Engenheiro de

Leia mais