CIRCUITOS COMBINACIONAIS

Tamanho: px
Começar a partir da página:

Download "CIRCUITOS COMBINACIONAIS"

Transcrição

1 Fundamentos de Sistemas Digitais 5/abril/29 CIRCUITOS COMBINACIONAIS Circuitos Combinacionais Um circuito combinacional consiste em portas lógicas cujas saídas, em qualquer momento, são determinadas pela combinação dos valores das entradas Para n variáveis de entrada, existem 2 n combinações de entrada binária possíveis Para cada combinação binária das variáveis de entrada, existe uma saída possível profs. Alexandre M. Amory e Fernando G. Moraes 2 Circuitos Combinacionais (cont) Portanto, um circuito combinacional pode ser descrito por:. Uma tabela de verdade que lista os valores de saída para cada combinação das variáveis de entrada, ou 2. m funções booleanas, uma para cada variável de saída. Circuitos Combinacionais versus Sequenciais Os circuitos combinacionais não possuem memória interna O valor de saída depende apenas dos valores atuais de entrada Os circuitos sequenciais contêm lógica combinacional, e elementos de memória (usados para armazenar estados de circuito) As saídas dependem dos valores de entrada atuais e dos valores de entrada anteriores (mantidos nos elementos de memória) 3 4

2 Circuitos Combinacionais versus Sequenciais (cont) Circuitos básicos n-entradas n-entradas Circuito Combinacional Circuito Combinacional Circuito Combinacional Next state Circuito Sequencial m-saídas (depende apenas das entradas) Elementos de memória m-saídas Present state q Exemplos de circuitos combinacionais. Codificador/decodificador 2. Comparadores 3. Geradores de paridade 4. Multiplexador/demux 5. PLAs 6. Memórias ROM 7. Somador / Subtrator. ULA 9. Multiplicadores / Divisores q Exemplos de circuitos seqüenciais. Registradores (deslocamento, carga paralela, acumulador, serialparalelo) 2. Contadores (binário, BCD, Johnson, Gray / up, down, updown) 3. Máquina de Estados 4. Geradores de clock 5. Memória RAM 6. Sequenciadores 5 6 () (DE)CODIFICADOR DECODIFICADOR - The 4-Bit Decoder (nà2 n ) Codificador é um circuito que mapeia um conjunto de entradas em um conjunto de saídas segundo uma função de codificação Em outras palavras, é um circuito que transforma uma informação de um formato para outro Um codificador é normalmente implementado de forma combinacional Representação gráfica de codificador genérico E E Codificador S S E N S M Decodificador n à 2 n Codificador 2 n FIGURE 6-2 Logic symbol for a 4-line-to-6-line (-of-6) decoder. à n 7

3 DECODIFICADOR - The 4-Bit Decoder (nà2 n ) DECODIFICADOR - The 4-Bit Decoder (nà2 n ) Apenas uma saída ativa para cada valor binário de entrada A A A2 A3 EN OUT <= not(not A and not A and not A2 and not A3 and EN); OUT <= not(a and not A and not A2 and not A3 and EN); OUT2 <= not(not A and A and not A2 and not A3 and EN); OUT3 <= not(a and A and not A2 and not A3 and EN); OUT4 <= not(not A and not A and A2 and not A3 and EN); OUT5 <= not(a and not A and A2 and not A3 and EN); OUT6 <= not(not A and A and A2 and not A3 and EN); OUT7 <= not(a and A and A2 and not A3 and EN); OUT <= not(not A and not A and not A2 and A3 and EN); OUT9 <= not(a and not A and not A2 and A3 and EN); OUT <= not(not A and A and not A2 and A3 and EN); OUT <= not(a and A and not A2 and A3 and EN); OUT2 <= not(not A and not A and A2 and A3 and EN); OUT3 <= not(a and not A and A2 and A3 and EN); OUT4 <= not(not A and A and A2 and A3 and EN); OUT5 <= not(a and A and A2 and A3 and EN); EN: enable sinal adicional que habilita o codificador 9 DECODIFICADOR portas lógicas (nà2 n ) DECODIFICADOR portas lógicas (nà2 n ) Ativo alto ou ativo baixo I I Y 3 Y 2 Y Y I I Y 3 Y 2 Y Y Y 3 Y 2 I() I() Y(3) Y(2) Y() Y() I() I() Y(3) Y(2) Y() Y() I I Y Y 2

4 DECODIFICADOR com sinal de habilitação Conforme indicado pela tabela de verdade, apenas uma saída pode ser igual a a qualquer momento, todas as outras saídas são iguais a. CODIFICADOR portas lógicas (2 n à n) Neste exemplo temos entradas, correspondendo a sinais que representam um valor decimal 4 bits com a codificação decimal 3 FIGURE 6-36 Logic symbol for a decimal-to-bcd encoder. 4 CODIFICADOR hexa para sete-segmentos Display 7 segmentos Este exemplo ilustra um codificador que tem por entrada um valor hexadecimal (-F) para sete segmentos. Entrada em 4 bits: A3 / A2 / A / A Saída em 7 bits: a, b, c, d, e,f, g HEA f e a g d f e b c a g d b c Entrada C () e saída: A3 A2 A A a b c... A Ativo em,2,3,5,6,7, AB'C' A'BD B'D' A'C AD' BC E B F C G D a 7 mapas de Karnaugh, um para cada dígito 5 6

5 VHDL: conteúdo após Prova P Display 7 segmentos simplificando com VHDL CODIFICADOR decimal para sete-segmentos with vetorent select Saida <= "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when "", "" when ""; vetorent: valor hexa a 7 As entradas só variam entre e 9 (código BCD) Entrada em 4 bits: A3 / A2 / A / A Saída em 7 bits: a, b, c, d, e,f, g A3 A2 A A a b c... 7 mapas de Karnaugh, um para cada dígito x x x x x x CODIFICADOR decimal para sete-segmentos CODIFICADOR COM PRIORIDADE (2 n à n) Codificador com prioridade Em um codificador com prioridade se o bit menos significativo for a saída é, se o bit seguinte for, independentemente do anterior, a saída é ; e assim sucessivamente. Exemplo - I3 tem maior prioridade (todos bits em não ocorre) : I3 I2 I I S S x x x x x x x x! = $3 + $2! = $3 + )$2. $ Desenhar e circuito 9 2

6 DECODIFICADOR - exercício Decodificador utilizado como gerador de mintermos EERCÍCIOS: Faça a codificação do display de elevador ilustrado abaixo S(2) S() S() E(... ) n n Pode-se utilizar um decodificador para realizar funções de n variáveis Exemplo para o somador: S(x, y, z) = (, 2, 4, 7) C(x, y, z) = (3, 5, 6, 7) FAZER A TABELA VERDADE S(3) S(4) Este tem como entrada um vetor de 2 bits que recebe a seguinte codificação parado - subindo /\ descendo \/ defeito todos segmentos acesos 2 22 Decodificador Decodificador: SOLUÇÃO Considere o decodificador abaixo e sua correspondente tabela verdade. Determine as funções lógicas a seguir: FA FB FC A B A B 2 AB 3 AB F or 2 F2 ( or or 3) F3 xor 2 xor 3 FA FB FC 23 OR AND OR 24

7 n Exercício Supondo que desejamos realizar o acesso a uma linha de dados de uma memória de 64 palavras, mas possuímos decodificadores 4à6 e 2à4. Como podemos construir um decodificador 6à64 utilizado estes decodificadores? (2) COMPARADOR Dois números hexadecimal como entradas, 3 saídas Circuito para detectar igualdade: A B A A A2 A3 A 2 B 2 A 3 B 3 A 4 B 4 Output EN FIGURE 6-2 Logic symbol for a 4-bit comparator with inequality indication COMPARADOR DE BIT COMPARADOR Fazer a tabela verdade para as saídas C / D / E : A = B A < B nor = Logo? A > B 27 a>b e demais bits iguais 2

8 COMPARADOR com VHDL (simplifica a modelagem) library IEEE; use IEEE.std_logic_64.all; use ieee.std_logic_unsigned.all; --****--- entity comparador is generic(n : integer := 4); port( A, B : in std_logic_vector(n- downto ); igual : out std_logic; maior : out std_logic; ); end entity; Time a[3:]=d b[3:]= igual= maior= menor= igual <= '' when A = B else '' ; maior <= '' when A > B else '' ; menor <= '' when A < B else '' ; 2 us 2 ns 22 ns B C D B C D E F A B C D E F a[3:]=3 2 3 b[3:]= menor : out std_logic architecture a of comparador is begin igual <= '' when A = B else '' ; maior <= '' when A > B else '' ; menor <= '' when A < B else '' ; end architecture a; VHDL: conteúdo após Prova P (3) Gerador de paridade e verificação de paridade Gerador de paridade - cria o bit de partiy necessário para adicionado a dada palavra Verificador de paridade calcula e verifica a paridade para garantir que a não haja erro na palavra recebida Paridade negada 3 Gerador de paridade e verificação de paridade Gerador de paridade e verificação de paridade Para verificar ou gerar a paridade adequada em um determinado código, um princípio básico pode ser usado: A soma (sem carry-out) de um número par de s é sempre, e a soma de um número ímpar de s é sempre Portanto, para determinar se um determinado código tem paridade ou paridade ímpar, todos os bits desse código são somados Uso de portas OR D-D6 4 s p= OK D-D6 5 s p= erro FIGURE 6-59 Example of data transmission with and without error for the system in Figure 6 5. FIGURE

9 (4) MULTIPLEADOR (4) MULTIPLEADOR É um circuito que permite selecionar uma dentre várias entradas em função de uma variável de controle 2-to- MU I 2-to- MU I I Y I Y I I Y 4-to- MU S I S S I I Y I I 2 Y EQUIVALENTE EM SOFTWARE: if then else VHDL: Y <= I when S = ' else I; VHDL: conteúdo após Prova P 33 S 34 S I 2 I 3 S S I 3 Multiplexadores (2x - 4 bits com enable) Construindo multiplexadores maiores a partir de multiplexadores menores A 3 Y 3 A 2 Y 2 A B Y A A B 3 Y Y Mux 6 x usando muxes 4x S E B 2 B B S E 35 36

10 Demultiplexador É um circuito que opera de forma inversa ao multiplexador. Ou seja, recebe uma entrada e distribui esta em uma de várias saídas conforme um sinal de seleção Exemplo de um multiplexador x4: Y 3 Exemplo de aplicação com Mux/Demux Multiplexação do meio físico para enviar diferentes sinais Y I Y Y 2 I Y 2 Y 3 Y S S Y FIGURE -24 Illustration of a basic multiplexing/demultiplexing application. S S 37 3 Multiplexadores O circuito abaixo é composto por 4 multiplexadores. As entradas são: uma palavra de dados (w3-w) e dois bits de controle (s-s2). A saída é o vetor y (y3-y). Preencha a tabela verdade correspondente a este circuito, e interprete o que este circuito realiza. No preenchimento da tabela verdade utilizar os valores w3/w2/w/w. Multiplexadores Determine a saída d em função de c e c. 2 3 c c d S S Y3 Y2 Y Y Conclusão? 39 4

11 Multiplexador para gerar funções de n entradas Multiplexador para gerar função booleanas A tabela verdade da função é armazenada em uma memória F ( A, B, C, D) = ABCD... + ACD.. + AD. å F( A, B, C, D) = (,3,7,,,2,4) 5 As entradas (variáveis Booleanas) controlam um multiplexador 2 n : A B C D Digital Design and Computer Architecture p Multiplexador para gerar funções booleanas estrutura conhecida como gerador universal de funções lógicas - ULG não implementa todas as funções lógicas de n entradas funções lógicas mais complexas requerem diversos ULGs EERCÍCIO. Os circuitos multiplexadores são também utilizados para a geração de funções booleanas. Considerando a conexão dos multiplexadores 2: abaixo. Qual a função resultante no sinal F? Expressar a resposta na forma de soma de produtos (conforme o formato apresentado na expressão da questão 2). B A B C D C C3 Saída D A c F ( A, B, C, D) = CD. + ABC.. A D A D F C2 b C 43 44

12 EERCÍCIO (POSCOMP 24, Questão 47) Analise o diagrama a seguir. Observe o diagrama do circuito lógico e sua respectiva tabela verdade a seguir. (5) PLA Matrizes Lógicas Programáveis Matrizes lógicas programáveis são tipos de circuitos que têm hardware prédefinido (parte estática) que implementa diversas funcionalidades conforme este for programado (parte dinâmica) Normalmente a programação é compreendida como uma camada de software de baixo nível programada em memórias do tipo RAM Muitas vezes, este tipo de circuito permite rapidamente criar nvas funcionalidades de hardware, seja em tempo de projeto, seja em tempo de operação Com base nesse diagrama e nessa tabela verdade, é correto afirmar que se trata de um circuito lógico a) codificador. b) comparador. c) decodificador. d) demultiplexador. e) multiplexador. 45 Exemplos de matrizes lógicas programáveis são PLAs, PLDs, e até circuitos mais complexos como FPGAs podem ser percebidos de forma análoga Exemplo de um PLA (contendo um plano E e outro OU) 46 Programmable Logic Array (PLA) O conjunto de funções a serem implementadas é primeiro transformado em somas de produto Exemplo de Programmable Logic Array Implementar: F = A B C+A BC +AB C =(AB+AC+BC+A B C ) F2 = AB+AC+BC Uma vez que a inversão de saída está disponível, as funções podem ser implementadas com o seu complemento A B C 2 3 Fuse intact Fuse blown 4 C C B B A A F 47 F2 4

13 PLA EERCÍCIO (/2) PLA EERCÍCIO ( solução) (POSCOMP 2-4) Considere o circuito digital apresentado no diagrama a seguir. Ressalte-se que, por convenção, chaves representadas por círculos escuros representam conexões fechadas e chaves representadas por círculos vazados representam conexões abertas. A.B.C B.C.D A.B.C Determine a função F. Gabarito com erro (b=c) F = A.B.C + B.C.D + A.B.C Gabarito com erro (b=c) 49 5 (ENADE 24, Questão 23) PLA EERCÍCIO (2) (ENADE 24, Questão 23) PLA EERCÍCIO (2 solução) M= J.K.L + J.K.L + J.K.L + J.K.L N= J.K.L + J.K.L O= J.K.L + J.K.L 5 52

14 (6) Memória ROM (7) Soma e subtração Memória de apenas leitura na prática um vetor de constantes Utilizada em hardware para constantes em determinado circuito (por exemplo: em código para boot em um processador) FIGURE 6-2 Half-adder logic diagram Somadores de bit Somador Completo - FA Inputs Outputs x y c s HA Half-adder (HA): Truth table and block diagram c x s y Co A B FA soma Ci Soma: a\bc Co: a\bc Inputs Outputs x y c c s in out x y c out FA s Full-adder (FA): Truth table and block diagram c in 55 A B C i S C o! = #. )%. )& ' + )#. %. )& ' + )#. )%. & ' + #. %. & '! = # % & ' & + = #. % + %. & ' + #. & ' 56

15 FIGURE 6-9 A 4-bit ripple adder Somador ripple Overflow Å Somador ripple Cin Somador completo (FA) Cout Meio-somador (HA) + Somar [E 6 + B 6 ] escrevendo os valores de saída dos FAs Cout Hexadecimal: FF + 55 = () 54 Unsigned: = 4 (errado, Cout=) 2 s comp: = 4 (certo Overflow=) 57 5 Somador / subtrator Somador geração do carry M = à subtrator M = à somador Subtrair [E ] escrevendo os valores de saída dos FAs 59 6

16 Somador geração do carry () ULA - UNIDADE LÓGICO E ARITMÉTICA Unidade Lógica e Aritmética (ULA) é um circuito que realiza funções lógicas e aritméticas É um dos componentes de transformação de dados principais de um processador Normalmente implementado de forma combinacional Representação: Vetor de entrada A Seleção (comando) Vetor de saída Técnica denominada CLA (Carry Look-Ahead) Vetor de entrada B Qualificadores (flags) 6 62 ULA Funções Lógicas ULA Funções Aritméticas Diversas são as funcionalidades lógicas. Dentre as mais comuns estão: E lógico das entradas Ou lógico das entradas Ou exclusivo lógico das entradas Not - Negação de uma dada entrada A seleção de qual operação será realizada é obtida pela porta de comando Normalmente controlada pela unidade de controle do processador onde se encontra a ULA Operações lógicas usam normalmente apenas os qualificadores Z (zero) e N (negativo) Qualificadores de V (overflow) e C (carry) não são considerados, pois operações lógicas não alteram o valor dos mesmos 63 Dentre as funcionalidades aritméticas mais comuns estão: Soma das entradas Subtração das entradas Deslocamento de uma das entrada Rotação de uma das entradas Complemento de dois de uma das entradas E variações das funcionalidades acima utilizando a flag C/OV A seleção de qual operação será realizada é obtida pela porta de comando Operações aritméticas fazem uso dos quatro qualificadores: (Z, N, V, C) 64

17 Exemplo operações: ULA - comandos op_alu: AND, OR, OR, SLL, SRL, ADD, SUB, INC, NEG opmode Instanciação do somador (soma/sub/inc/neg) cout ov op default aa x uneg x op uinc uneg default bb SOMA / SUB op2 mode uadd, uinc default O somador realiza 4 operações aritméticas op_alu op op2 ULA outalu cout ov z soma opmode opmode Ação uinc op + + uadd op+ op2 + usub op+ not(op2) + uneg (2 s comp) + not(op) + 65 Obs: o not do segundo operando deve-se ao mode= 66 Instanciação do somador operações Como integrar com as demais operações? opmode op default x uneg x op op2 uinc uneg default opmode op default x uneg x op op2 uinc uneg default Utilização de multiplexadores cout ov SOMA / SUB soma Inc: op + + mode default uadd, uinc cout ov SOMA / SUB soma mode ADD: op + op2 + default uadd, uinc op x x op op2 op x x op op2 opmode default uneg uinc uneg default opmode default uneg uinc uneg default cout ov SOMA / SUB soma mode default uadd, uinc cout ov SOMA / SUB mode default uadd, uinc soma Sub: op + not(op2) + NEG (2 s com): + not(op)

18 Outro exemplo de circuito aritmético Entradas: A e B de bits, C e D controles de 4 bits, modo (Cin) Saída: bits (9) MULTIPLICADOR Dois números de n bits quando multiplicados, geram 2n bits not B B A not A B not B A not A 2 sd D(3) D(2) D() D() 2 sc Mux 4x C(3) C(2) C() C() op op modo B A Cin Somador Ripple ( bits) Cod. com prioridade (4à2) S Cout 9 Saída Determinar C / D / modo para: saída ß 6 saída ß A + B saída ß A - B saída ß 2.B 69 Exemplo: multiplicand multiplier Partial products (3) * () (43) 7 MULTIPLICAÇÃO MULTIPLICAÇÃO arquitetura array S7 A3 B3 A2 B A2 B2 A2 B A B A B A B A B A3 B A2 B A B A B A3 B2 A2 B2 A B2 A B2 um carry propagado A3 B3 A2 B3 A B3 A B3 dois carrys propagados S6 S5 S4 S3 S2 S S B 3 B 2 B B A B 3 B 2 B B A HA FA FA C HA A 2 B 3 B 2 B B S P FA FA FA HA P Quanto maior o número de produtos parciais a somar maior o número de bits de vai-um gerados B 3 B 2 B B A 3 P 2 FA FA FA HA 7 P 7 P 6 P 5 P 4 P 3 72

19 B 3 B 2 B B A 2 P B 3 B 2 B B A 3 P 2 MULTIPLICAÇÃO arquitetura array Multiplique [F 6 * A 6 ] escrevendo os valores de saída das ands, HAs e FAs B 3 B 2 B B A B 3 B 2 B B A P Mux 4- Exercício Determine a saída Y HA FA FA HA FA FA FA HA FA FA FA HA P 7 P 6 P 5 P 4 P Exercício Exercício Determine o valor da soma 75 76

20 Exercício Exercício 77 7 Exercício Exercício 79

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Circuitos Combinacionais. Arquitetura de Computadores I

Circuitos Combinacionais. Arquitetura de Computadores I Circuitos Combinacionais Arquitetura de Computadores I Roteiro } Introdução } Gerador e Verificador de Paridade } Comparadores } Circuitos aritméticos } Somador (Half Adder e Full Adder) } Subtrator (Meio

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos Eletrônica Digital Projeto de Circuitos Combinacionais Alex Vidigal Bastos Introdução O circuito combinacional é aquele em que a saída depende única e exclusivamente das combinações entre as variáveis

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Aritmética Computacional - Inteiros - Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno Sumário A unidade lógico-aritmética Representação de números inteiros

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Disciplina de Organização de Computadores I

Disciplina de Organização de Computadores I USP - SSC-6 Eng. Comp. T / 2-2 USP - ICMC - SSC SSC 6 - Eng. Comp. - 2o. Semestre 2 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal: http://www.icmc.usp.br/~fosorio/

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos PCS 3115 (PCS2215) Sistemas Digitais I Circuitos Combinatórios Blocos Básicos Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Blocos básicos Codificadores e Decodificadores Drivers de Display

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Circuito combinacional

Circuito combinacional Circuito combinacional É todo circuito cuja saída depende única e exclusivamente das várias combinações das variáveis de entrada. Estudando os circuitos combinacionais podemos entender o funcionamento

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais Circuitos Combinacionais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui armazenamento de valores no circuito Valor dos sinais de saída depende

Leia mais

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS CAPÍTULO 4 CIRCUITOS COMBINACIONAIS Soma de produtos e produto de somas Simplificação algébrica Mintermos e maxtermos Simplificação Algébrica Projeto de circuitos lógicos combinacionais Mapas de Karnaugh

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

ARITMÉTICA BINÁRIA. Adão de Melo Neto

ARITMÉTICA BINÁRIA. Adão de Melo Neto ARITMÉTICA BINÁRIA Adão de Melo Neto 1 Sumário Adição Multiplicação Subtração Divisão Complemento de 1 Complemento de 2 Representação de um número com sinal Sinal magnitude Complemento de 2 Valor em decimal

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 09 3ª Etapa: Projeto Prático Correção Código BCD e Sinal ANEXO: Teste de Simulação Soma e Subtração PROF. MSc.

Leia mais

Circuitos Combinacionais Lógicos

Circuitos Combinacionais Lógicos Circuitos Combinacionais Lógicos Pedroni Capítulo 11 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/21 Conteúdo programático 1 Circuitos Combinacionais

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Arquitetura de Computadores Aula 9 Portas Lógicas

Arquitetura de Computadores Aula 9 Portas Lógicas Arquitetura de Computadores Aula 9 Portas Lógicas Prof. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/18 * Definição * Tipos de portas lógicas (operadores) * Aplicações - porta de transferência

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Combinacionais (Parte

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO Eletrónica Digital Fundamentos e Projeto Acxcro MANUEL RAPOSO AMARAL EDIÇÕES SíLABO , Indice Prefácio 13 Capítulo 1 Introdução 1.1. Abstração digital 1.2. Processo de conversão de um sinal analógico para

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais

Circuitos Lógicos Combinacionais Aula Prática

Circuitos Lógicos Combinacionais Aula Prática Circuitos Lógicos Combinacionais Aula Prática Objetivos 1: Aprendizado da Ferramenta TKgate 2: Construção de circuitos combinacionais complexos 3: Construção de uma ALU básica 2 bits. AND, OR CMP SOMADOR

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

3. Revisão de Eletrônica Digital

3. Revisão de Eletrônica Digital 3. Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 3.1 Aritmética Binária Representação de números em complemento de 1 Ex.: 1 1 1 1-1 1 2, 5 = 12,5d ou 12,5 1 Decimal 2 3 2 2 2 1 2

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Adição no Sistema Binário: É desenvolvida de forma idêntica ao sistema decimal; Apenas quatro casos podem ocorrer: Adição

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 12: Título: Sumário: Linguagens de Descrição e Simulação de Circuitos Digitais (apoio ao laboratório) Linguagens

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Circuitos Aritméticos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Circuitos Aritméticos Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Circuitos Aritméticos Circuitos Aritméticos são aqueles que realizam operações

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

Exemplo somador de 3 bits

Exemplo somador de 3 bits Exemplo somador de 3 bits 3 números de 1 bit Ci i i Full adder Si Ci1 LS número de 2 bits (pode ser 0, 1, 2 ou 3) MS Escrever uma expressão booleana para as funções Si(Ci,i,i) e Ci1(Ci, i, i) Desenhar

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Aritmética Computacional Slide 1 Sumário Unidade Lógica e Aritmética Representação de Números Inteiros Representação de Números de Ponto Flutuante Aritmética

Leia mais

SOMADORES E SUBTRATORES

SOMADORES E SUBTRATORES SOMADORES E SUBTRATORES Em sistemas digitais, muitas vezes necessitamos de circuitos que realizem operações de soma e subtração. Em computação, esses circuitos ocupam uma posição de extrema importância,

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Nível da Lógica Digital

Nível da Lógica Digital Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas

Leia mais

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Álgebra Booleana Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Nas primeiras

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

EELi02 Circuitos Lógicos

EELi02 Circuitos Lógicos EELi02 Circuitos Lógicos Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ Transparências: Profa. Mara Cristina... Prof. Tiago Ferreira... Avaliações Nota 1: Prova teórica

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

CIRCUITOS SOMADORES = = =

CIRCUITOS SOMADORES = = = IRUITOS SOMADORES Os circuitos digitais que efetuam operações aritméticas devem processar os dados na forma binária, ou seja, devem executar as operações matemáticas com os números binários omo o sistema

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE CIRCUITOS DIGITAIS Prof. Sérgio F. Ribeiro

Leia mais

Sistemas Digitais Transferências entre Registradores

Sistemas Digitais Transferências entre Registradores Sistemas Digitais Transferências entre Registradores Referência Bibliográfica: Logic and Computer Design Fundamentals Mano & Kime Adaptações: josé artur quilici-gonzalez Sumário Transferências entre Registradores

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais