VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

Tamanho: px
Começar a partir da página:

Download "VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada"

Transcrição

1 VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada

2 Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo de hardware a partir de um algoritmo; Descrição das Partes Operativa e de Controle em Descrição das Partes Operativa e de Controle em VHDL;

3 d <- 2; s <- 4; Fazer d <- d + 2; r <- d / 2; s <- s + d + ; Enquanto (s < = x); Algoritmo Inicial

4 Fluxograma do processo Registradores Multiplexadores d = 2; s = 4; x = DATA_IN d = d + 2 r = d / 2 s = s + d + Somador Divisão por 2 = Deslocador à direita s > x Flag para controle Maior / menor DATA_OUT = r

5 Parte Operativa Composta de: Multiplexador para o valor de D Multiplexador para o valor de S 2 Multiplexadores para os valores de entrada do somador Multiplexador para o bit de seleção do somador 4 Registradores (D, S, R e X) Somador para o cálculo de D e S deslocador para o valor de R

6 d <- 2; s <- 4; Fazer d <- d + 2; r <- d / 2; s <- s + d + ; Enquanto (s < = x); Algoritmo utilizado

7 Fluxograma do processo Registradores d = 2; s = 4; x = DATA_IN d = d + 2 r = d / 2 s = s + d + s > x DATA_OUT = r

8 Parte Operativa - Registradores Dados dos registradores utilizados: Tamanho: N bits WE Possuem write enable Circuitos síncronos Entrada N REG Saida N clk

9 Parte Operativa - Registradores LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY reg_gen IS GENERIC (N : POSITIVE := 8); -- Default value PORT ( clk : IN BIT; DATA_IN : IN STD_LOGIC_VECTOR(N- DOWNTO 0); WE : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0) ); END reg_gen; ARCHITECTURE behavior OF reg_gen IS BEGIN PROCESS(clk) BEGIN IF (clk'event AND clk = ') THEN IF ( WE = '') THEN DATA_OUT <= DATA_IN; END IF; END IF; END PROCESS; END behavior;

10 Parte Operativa WED D WES WER S R clk WEX X

11 Fluxograma do processo Multiplexadores d = 2; s = 4; x = DATA_IN d = d + 2 r = d / 2 s = s + d + s > x DATA_OUT = r

12 Parte Operativa - Multiplexadores Dados dos multiplexadores utilizados para D e S: Tamanho: N bits Possuem 2 entradas Seleção Circuitos Combinacionais Entrada_ N Entrada_2 N M U X Saida N

13 Parte Operativa - Multiplexadores LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY mux_gen IS GENERIC (N : POSITIVE := 8); -- Default value PORT ( DATA_A, DATA_B : IN STD_LOGIC_VECTOR(N- DOWNTO 0); SM : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0) ); END mux_gen; ARCHITECTURE behavior OF mux_gen IS BEGIN PROCESS BEGIN IF (SM = '0') THEN DATA_OUT <= DATA_A; ELSE DATA_OUT <= DATA_B; END IF; END PROCESS; END behavior;

14 SMD M U X D Parte Operativa WED D SMS M U X S WES WER S R clk WEX X

15 Fluxograma do processo d = 2; s = 4; x = DATA_IN d = d + 2 Cin = 0 r = d / 2 s = s + d + Somador Cin = s > x S X = S + (-X) + (Complemento de 2) DATA_OUT = r

16 Parte Operativa Somador (ULA) Dados da ULA Tamanho: N bits Circuitos Combinacionais Para d + 2 Entrada_ = d Entrada_2 = 2 Para s + d + Entrada_ = d Entrada_2 = s Para s - x Entrada_ = -x Entrada_2 = s CIN Entrada_ N Entrada_2 N U L A Saida N

17 Parte Operativa - ULA LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY ula IS SIGNAL sum_t GENERIC (N : POSITIVE := 8); -- Default value DOWNTO 0); PORT ( Cin : IN STD_LOGIC; BEGIN A, B : IN STD_LOGIC_VECTOR(N- DOWNTO 0); Sum : OUT STD_LOGIC_VECTOR(N- DOWNTO 0); Cout END ula; : OUT STD_LOGIC); ARCHITECTURE behavior OF ula IS SIGNAL int_carry : STD_LOGIC_VECTOR(N- DOWNTO 0); : STD_LOGIC_VECTOR(N- sum_t <= A(N- DOWNTO 0) XOR B(N- DOWNTO 0) XOR (int_carry (N-2 DOWNTO 0) &Cin); int_carry(0) <= ((A(0) OR B(0)) AND Cin) OR (A(0) AND B(0));

18 Parte Operativa - ULA CASCADE_CARRY: FOR I in TO N- GENERATE int_carry(i) <= ((A(I) OR B(I)) AND int_carry(i-)) OR (A(I) AND B(I)); END GENERATE CASCADE_CARRY; -- PROCESS BEGIN IF ( (sum_t(n - ) = '') OR ( A = NOT B)) THEN ELSE Cout <= ''; Cout <= '0'; END IF; END PROCESS; Sum <= sum_t; END behavior; Necessário para S X, mas não para X S

19 Parte Operativa WED D M U X D SMD 2 WES WER S R D M U X S SMS CIN= SM3 U L A M U X A SM SM2 2 4 MUX_ULA N clk WEX R X M U X B 2

20 Fluxograma do processo d = 2; s = 4; x = DATA_IN d = d + 2 Divisão por 2 Deslocador à direita r = d / 2 s = s + d + s > x DATA_OUT = r

21 Parte Operativa Deslocador Dados do deslocador Tamanho: N bits Desloca apenas à direita Circuito Combinacional Entrada N D E S L Saida N

22 Parte Operativa - Deslocador LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY DESLOCADOR IS GENERIC (N : POSITIVE := 8); -- Default value PORT ( DATA_IN : IN STD_LOGIC_VECTOR(N- DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0)); END DESLOCADOR; ARCHITECTURE behavior OF DESLOCADOR IS BEGIN DATA_OUT <= '0' & DATA_IN(N- DOWNTO ); END behavior;

23 Parte Operativa WED D M U X D SMD 2 WES WER S R D M U X S SMS CIN U L A M U X A SM SM2 2 4 MUX_ULA D E S L N SM3 clk WEX R X M U X B 2 L

24 Parte Operativa LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY OP IS PORT ( clk : IN BIT; DATA_IN : IN STD_LOGIC_VECTOR(5 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); N : OUT STD_LOGIC; CTR : IN STD_LOGIC_VECTOR(8 DOWNTO 0) ); END OP;

25 Parte Operativa ARCHITECTURE estrutural OF OP IS SIGNAL sig_muxd, sig_muxs : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL sig_d, sig_s, sig_r, sig_x : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL sig_muxa, sig_muxb : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL sig_sula, sig_desl : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL cin : STD_LOGIC; SIGNAL sig_muxd, sig_muxs, sig_muxa, sig_muxb0 : STD_LOGIC_VECTOR(5 DOWNTO 0); ALIAS smd : STD_LOGIC IS CTR(8); ALIAS sms : STD_LOGIC IS CTR(7); ALIAS wed : STD_LOGIC IS CTR(6); ALIAS wes : STD_LOGIC IS CTR(5); ALIAS wer : STD_LOGIC IS CTR(4); ALIAS wex : STD_LOGIC IS CTR(3); ALIAS sm : STD_LOGIC IS CTR(2); ALIAS sm2 : STD_LOGIC IS CTR(); ALIAS sm3 : STD_LOGIC IS CTR(0);

26 Parte Operativa COMPONENT mux_gen IS GENERIC (N : POSITIVE); PORT ( DATA_A, DATA_B : IN STD_LOGIC_VECTOR(N- DOWNTO 0); SM : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0)); END COMPONENT; COMPONENT mux_ IS PORT ( DATA_A, DATA_B : IN STD_LOGIC; SM DATA_OUT END COMPONENT; : IN STD_LOGIC; : OUT STD_LOGIC);

27 Parte Operativa COMPONENT reg_gen IS GENERIC (N : POSITIVE); PORT (clk : IN BIT; DATA_IN : IN STD_LOGIC_VECTOR(N- DOWNTO 0); WE : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0)); END COMPONENT; COMPONENT DESLOCADOR IS GENERIC (N : POSITIVE); PORT (DATA_IN : IN STD_LOGIC_VECTOR(N- DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(N- DOWNTO 0)); END COMPONENT;

28 Parte Operativa COMPONENT ula IS GENERIC (N : POSITIVE); PORT (Cin : IN STD_LOGIC; A, B : IN STD_LOGIC_VECTOR(N- DOWNTO 0); Sum : OUT STD_LOGIC_VECTOR(N- DOWNTO 0); Cout END COMPONENT; -- BEGIN -- : OUT STD_LOGIC); sig_muxd <= " "; -- 2 sig_muxs <= " "; -- 4 sig_muxb0 <= " "; -- 2 sig_muxa <= NOT(sig_x);

29 Parte Operativa MUXD : mux_gen GENERIC MAP (N => 6) PORT MAP (sig_sula, sig_muxd, smd, sig_muxd); -- MUXS : mux_gen GENERIC MAP (N => 6) PORT MAP (sig_sula, sig_muxs, sms, sig_muxs); -- REGD : reg_gen GENERIC MAP (N => 6) PORT MAP (clk, sig_muxd, wed, sig_d); -- REGS : reg_gen GENERIC MAP (N => 6) PORT MAP (clk, sig_muxs, wes, sig_s);

30 Parte Operativa REGR : reg_gen GENERIC MAP (N => 6) PORT MAP (clk, sig_desl, wer, sig_r); -- REGX : reg_gen GENERIC MAP (N => 6) PORT MAP (clk, DATA_IN, wex, sig_x); -- MUXA : mux_gen GENERIC MAP (N => 6) PORT MAP (sig_d, sig_muxa, sm2, sig_muxa); -- MUXB : mux_gen GENERIC MAP (N => 6) PORT MAP (sig_muxb0, sig_s, sm, sig_muxb);

31 Parte Operativa MUXULA : mux_ PORT MAP (sm2, sm, sm3, cin); -- Adder : ula GENERIC MAP (N => 6) PORT MAP (cin, sig_muxa(5 downto 0), sig_muxb(5 downto 0), sig_sula(5 DOWNTO 0), N); -- desl : DESLOCADOR GENERIC MAP (N => 6) PORT MAP (sig_sula, sig_desl); -- DATA_OUT <= sig_r; END estrutural;

32

33 Parte de Controle O diagrama de estados terá 4 estados, com o seguinte funcionamento: Estado : d = 2; s = 4; x = DATA_IN; Valores de saída dos bits de controle Estado2: d = d +2; r = d/2; Valores de saída dos bits de controle ready/ pronto s pronto/ ready s2 Estado3: s = s + d + ; Valores de saída dos bits de controle s3 Estado4: S > X? Valores de saída dos bits de controle s4

34 Parte de Controle LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY controle IS PORT ( ); clk : IN STD_LOGIC; NEG : IN STD_LOGIC; -- bit que verifica se s > x READY : IN STD_LOGIC; -- bit de inicializacao PRONTO : OUT STD_LOGIC; -- bit de parada SAIDA : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) END controle;

35 Parte de Controle ARCHITECTURE behavior OF controle IS TYPE StateType IS (s, s2, s3, s4); SIGNAL estado_atual, proximo_estado : StateType; BEGIN outro_estado : PROCESS (estado_atual) BEGIN END PROCESS outro_estado;

36 Parte de Controle Organização dos bits de controle: SAIDA(8 DOWNTO 0); BIT SMD (8) SMS (7) WED (6) WES (5) WER (4) WEX (3) SM (2) SM2 () SM3 (0) FUNÇÃO Bit de controle do componente MUXD Bit de controle do componente MUXS Write Enable do registrador D Write Enable do registrador S Write Enable do registrador R Write Enable do registrador X Bit de controle do componente MUX_B Bit de controle do componente MUX_A Bit de controle do componente MUX_ULA

37 Parte de Controle CASE estado_atual IS WHEN s => SAIDA <= "0000"; IF (READY = '') THEN proximo_estado <= s; ELSE PRONTO <= '0'; proximo_estado <= s2; END IF; -- WHEN s2 => SAIDA <= " "; proximo_estado <= s3; -- WHEN s3 => SAIDA <= "000000"; proximo_estado <= s4; -- WHEN s4 => SAIDA <= " "; IF (NEG = '0') THEN PRONTO <= ''; proximo_estado <= s; ELSE PRONTO <= '0'; proximo_estado <= s2; END IF; END CASE;

38 Parte de Controle atualiza_estado : PROCESS (clk) BEGIN IF (clk'event AND clk = '') THEN -- rising_edge(clk) Estado_atual <= proximo_estado; END IF; END PROCESS atualiza_estado; END behavior;

39 PC + PO LIBRARY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY raiz IS PORT (clk ENTRADA : IN BIT; : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(5 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); PRONTO END raiz; : OUT STD_LOGIC); ARCHITECTURE estrutural OF raiz IS -- SIGNAL CTR : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL N : STD_LOGIC;

40 PC + PO COMPONENT OP IS PORT (clk : IN BIT; DATA_IN : IN STD_LOGIC_VECTOR(5 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); N : OUT STD_LOGIC; CTR : IN STD_LOGIC_VECTOR(8 DOWNTO 0)); END COMPONENT; COMPONENT controle IS PORT (clk : IN BIT; N : IN STD_LOGIC; ENTRADA : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); PRONTO : OUT STD_LOGIC); END COMPONENT;

41 PC + PO BEGIN CONTROL: controle PORT MAP (clk, N, ENTRADA, ctr, PRONTO); -- OPERACIONAL: OP PORT MAP (clk, DATA_IN, DATA_OUT, N, ctr); -- END estrutural;

MINICURSO: TÓPICOS EM VHDL. Prof. Leonardo Augusto Casillo

MINICURSO: TÓPICOS EM VHDL. Prof. Leonardo Augusto Casillo MINICURSO: TÓPICOS EM VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description Language Linguagem de Descrição de Hardware com ênfase em Circuitos

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica Digital para Instrumentação Objetivo

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

Modelagem de Sistemas com VHDL

Modelagem de Sistemas com VHDL Modelagem de Sistemas com VHDL A abstração empregada por projetistas de sistemas digitais pode ser expressa em dois domínios: No domínio estrutural, um componente é descrito em termos de um conjunto de

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Semana de Engenharia

Semana de Engenharia Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof.. Daniel Barros Júnior (dbarros@ee.pucrs.br) Bolsista: Dárcio Prestes (darcio(

Leia mais

Questões Práticas de Sistemas Combinacionais

Questões Práticas de Sistemas Combinacionais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Combinacionais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 5.3 a 5.11, DDPP, Wakerly DEP.

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Prototipação em PLDs

Prototipação em PLDs Universidade do Vale do Rio dos Sinos - UNISINOS Prototipação em PLDs Introdução ao VHDL Autor: Prof. Rodrigo Marques de Figueiredo Agenda Introdução; - Histórico; - Características do VHDL; - Aplicações

Leia mais

VHDL ENTIDADES DE PROJETO

VHDL ENTIDADES DE PROJETO ENTIDADES DE PROJETO Uma entidade de projeto é o módulo básico de um projeto em. Pode representar desde uma porta lógica até um circuito complexo. É composta de duas partes: Declaração da entidade Arquitetura

Leia mais

Implementação Multi-Ciclo

Implementação Multi-Ciclo Implementação Multi-Ciclo Fernando Moraes 09/10/2006 Última alteração - Ney Calazans, 05/06/2012 Reg1 Reg2 Reg n-1 Reg n IMPLEMENTAÇÃO MULTI-CICLO Cada estágio realiza uma parte do trabalho Registradores

Leia mais

1 íntese ais S igit antos S s 05 L D do 20 V - para os ieira antos S dos el V ieira VHD igu iguel V ircuit M M agem José C - P José C A S ingu de L

1 íntese ais S igit antos S s 05 L D do 20 V - para os ieira antos S dos el V ieira VHD igu iguel V ircuit M M agem José C - P José C A S ingu de L VHDL Linguagem para Síntese de Circuitos Digitais José Miguel Vieira dos Santos SACP - José Miguel Vieira dos Santos - V2005 1 VHDL Linguagem de programação que permite: Sintetizar descrições de circuitos

Leia mais

Figura 1: VHDL - Exemplo de uso do construto generic: componente mult_se_neg com valor default de n=16 bits.

Figura 1: VHDL - Exemplo de uso do construto generic: componente mult_se_neg com valor default de n=16 bits. OBJETIVOS: Projeto de componentes em VHDL. Projeto e simulação de uma biblioteca de componentes em VHDL no ambiente Quartus II Altera para construção de um caminho de dados (ou bloco operacional) e de

Leia mais

Eletrônica Digital para Instrumentação. Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama

Eletrônica Digital para Instrumentação. Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama G15 Eletrônica Digital para Instrumentação Prof. Herman P. Lima Jr (hlima@cbpf.br) Monitor: Rafael Gama Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica

Leia mais

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Prof. Edson Lemos Horta Fevereiro 2008 1 Introdução aos Circuitos Integrados para Aplicações Específicas (Application

Leia mais

PTC2528 Laboratório de Comunicações: Projeto de Formatura. Orientador Prof. Dr. Cristiano Magalhães Panazio

PTC2528 Laboratório de Comunicações: Projeto de Formatura. Orientador Prof. Dr. Cristiano Magalhães Panazio PTC2528 Laboratório de Comunicações: Projeto de Formatura Receptor SIC Successive Interference Cancellation Cancelamento Sucessivo das Interferências Orientador Prof. Dr. Cristiano Magalhães Panazio Vinicius

Leia mais

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Circuitos Digitais Rodrigo Hausen CMCC UFABC 4 e 6 de março de 2013 http://compscinet.org/circuitos Rodrigo Hausen (CMCC UFABC) Aula 11: Blocos

Leia mais

CIRCUITO DE AMOSTRAGEM E RETENÇÃO

CIRCUITO DE AMOSTRAGEM E RETENÇÃO Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica CIRCUITO DE AMOSTRAGEM E RETENÇÃO Laboratório I Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes,

Leia mais

LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS

LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS Campus de Ilha Solteira PROGRAMA DE PÓS-GRADUAÇÃO EM ENGENHARIA ELÉTRICA LOGO2VHDL: MODELOS DESCRITOS EM VHDL A PARTIR DA LINGUAGEM DO LOGO!SOFT COMFORT DA SIEMENS RENATO CARDOSO DOS SANTOS Orientador:

Leia mais

Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada a Cálculo Numérico

Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada a Cálculo Numérico Centro Universitário Positivo - UnicenP Núcleo de Ciências Exatas e Tecnológicas NCET Engenharia da Computação Maurício Vitor Brodzinski Máquina Paralela Reconfigurável Baseada em Fluxo de Dados Aplicada

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Tutorial do Max Plus II Baseline

Tutorial do Max Plus II Baseline Tutorial do Max Plus II Baseline Thiago Valentin de Oliveira 10/08/2010 1 Baixando, Licenciando e Instalando o Software O primeiro passo é adquirir o software Max Plus II com uma licença. Isso pode ser

Leia mais

O Processador: Via de Dados e Controle

O Processador: Via de Dados e Controle O Processador: Via de Dados e Controle Ch5A Via de Dados e Controle Implementação da arquitetura MIPS Visão simplificada de uma arquitetura monociclo Instruções de memória: lw, sw Instruções lógicas aritméticas:

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

SIMULAÇÃO VHDL DO PROCESSADOR MRStd

SIMULAÇÃO VHDL DO PROCESSADOR MRStd SIMULAÇÃO VHDL DO PROCESSADOR MRStd Este tutorial visa iniciar os alunos à simulação de um processador que dá suporte a um subconjunto amplo de instruções da arquitetura MIPS, denominado MRStd. Este processador

Leia mais

PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES

PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES UNIVERSIDADE DE PERNAMBUCO ESCOLA POLITÉCNICA DE PERNAMBUCO PROJETO MODELO PARA O CURSO DE AUTOMAÇÃO DE PROJETOS DE CIRCUITOS INTEGRADOS PROJETO DE UMA MÁQUINA DE VENDER REFRIGERANTES Elaborado por: JENER

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 - Compilando VHDL com o ALTERA MAX

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans 20/janeiro/2016 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

DESCRIÇÃO E SÍNTESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Gomes**, Ricardo Teixeira*** e Nilton Itiro Morimoto.

DESCRIÇÃO E SÍNTESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Gomes**, Ricardo Teixeira*** e Nilton Itiro Morimoto. DESCRIÇÃO E SÍNESE DE CONVERSORES A/D E D/A PWM. Eduardo dos Santos Ferreira*, Mauricio Goes**, Ricardo eixeira*** e Nilton Itiro Morioto.**** RESUMO Neste artigo apresentaos o projeto, descrição e ipleentação

Leia mais

- TUTORIAL - Altera Quartus R II e Kit de Desenvolvimento DE1

- TUTORIAL - Altera Quartus R II e Kit de Desenvolvimento DE1 Universidade Estadual de Campinas - UNICAMP Faculdade de Engenharia Elétrica e de Computação - FEEC Departamento de Engenharia de Computação e Automação Industrial - DCA - TUTORIAL - Altera Quartus R II

Leia mais

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA Paulo Alexandre Martin 1 ; Vanderlei Cunha Parro 2 12 Escola de Engenharia Mauá, Engenharia Elétrica Praça

Leia mais

IMPLEMENTAÇÃO EFICIENTE DE UMA ARQUITETURA LOAD/STORE EM VHDL

IMPLEMENTAÇÃO EFICIENTE DE UMA ARQUITETURA LOAD/STORE EM VHDL IMPLEMENTAÇÃO EFICIENTE DE UMA ARQUITETURA LOAD/STORE EM VHDL F.G.Moraes, N.L.V.Calazans, E.H.Ferreira, D.C. Liedke Faculdade de Informática PUCRS Av. Ipiranga, 668 Porto Alegre CEP: 9069-900 {moraes,

Leia mais

CONHECIMENTOS ESPECÍFICOS

CONHECIMENTOS ESPECÍFICOS CONHECIMENTOS ESPECÍFICOS» CONTROLES E PROCESSOS INDUSTRIAIS (PERFIL 5) «21. Um transistor NMOS, para operar na região de saturação, deve obedecer às seguintes condições: I. A diferença entre a tensão

Leia mais

EA075 Processadores Dedicados

EA075 Processadores Dedicados EA075 Processadores Dedicados Faculdade de Engenharia Elétrica e de Computação (FEEC) Universidade Estadual de Campinas (UNICAMP) Prof. Levy Boccato Introdução Processador: circuito digital projetado para

Leia mais

Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura

Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura GUILHERME DE OLIVEIRA FIORELLI Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura Trabalho de Conclusão de Curso

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA

UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA UNIVERSIDADE POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÒGICAS CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA BRUNO BOSTELMANN WILLIAN VERCHAI AVILLA SISTEMA DIDÁTICO PARA A SIMULAÇÃO DE FUNÇÕES DE TRANSFERÊNCIA

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA REVISTA DO DETUA, VOL. 4, Nº 5, SETEMBRO 2005 626 Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA Iouliia Skliarova Resumo Este artigo descreve a implementação de circuitos

Leia mais

Sistemas Digitais. Sistemas Digitais III - Ementa

Sistemas Digitais. Sistemas Digitais III - Ementa Sistemas Digitais Sistemas Digitais I - Sistemas Combinatórios As saídas atuais são determinadas diretamente a partir das entradas atuais. Portas Lógicas. Sistemas Digitais II - Sistemas Seqüenciais As

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

IES-300. Tecnologia em Análise e Desenvolvimento de Sistemas Prof. Me. Álvaro d Arce alvaro@darce.com.br

IES-300. Tecnologia em Análise e Desenvolvimento de Sistemas Prof. Me. Álvaro d Arce alvaro@darce.com.br IES-300 Tecnologia em Análise e Desenvolvimento de Sistemas Prof. Me. Álvaro d Arce alvaro@darce.com.br Teste de Caixa Branca 2 Teste de Componentes: Caixa Branca Teste de Caixa Branca Grafo de Fluxo de

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui

Leia mais

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL vs. VHDL Verilog HDL é mais

Leia mais

Testes Baseados na Implementação. (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins

Testes Baseados na Implementação. (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins Testes Baseados na Implementação (fluxo de controle) Baseado em notas de aula da profa. Eliane Martins 1 Tópicos O que é Grafo de fluxo de controle Critérios de cobertura 2 Referências B.Beizer R.Binder

Leia mais

Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC

Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC FACULDADE DE INFORMÁTICA PUCRS - Brazil http://www.inf.pucrs.br Tutorial e Diretivas para Captura de Projeto, Validação e Prototipação de Módulos de Hardware Descritos em SystemC Ney Laert Vilar Calazans,

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111 USP - ICMC - SSC SSC 0111 (Lab ELD I) - 2o. Semestre 2011 Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111 1 Agosto 2011 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail.

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

Arquitetura de Computadores. Ivan Saraiva Silva

Arquitetura de Computadores. Ivan Saraiva Silva Arquitetura de Computadores Introdução Ivan Saraiva Silva Sumário Introdução Bibliografia Recomendada O que é um computador Organização de um Computador Modelo de Von Neumann IAS Máquina de Von Neuman

Leia mais

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Sumário Unidade Lógica Aritmetrica Registradores Unidade Lógica Operações da ULA Unidade de Ponto Flutuante Representação

Leia mais

VHDL APOSTILA DE. Ronaldo Hüsemann. Professor das Disciplinas: Técnicas Digitais (2000) Sistemas Digitais (2001) Microprocessadores II (2002)

VHDL APOSTILA DE. Ronaldo Hüsemann. Professor das Disciplinas: Técnicas Digitais (2000) Sistemas Digitais (2001) Microprocessadores II (2002) APOSTILA DE VHDL Ronaldo Hüsemann Professor das Disciplinas: Técnicas Digitais (2000) Sistemas Digitais (2001) Microprocessadores II (2002) Departamento de Engenharia Elétrica Universidade Federal do Rio

Leia mais

Parte Operativa e Parte de Controle do MIPS

Parte Operativa e Parte de Controle do MIPS Capítulo Parte Operativa e Parte de Controle do IPS Ricardo Jacobi niversidade de Brasília Departamento de Ciencia da Computacao Adaptado de Bruno Cunha e Carlos Llanos, IESB Introdução O desempenho de

Leia mais

Data Path / Control Path Controle do MIPS

Data Path / Control Path Controle do MIPS Organização e Arquitetura de Computadores Unidade de Controle Uniciclo A unidade de controle deve, a partir do código da instrução, fornecer os sinais que realizam as instruções na unidade operativa. Sequência

Leia mais

Cleo-LIRMM: Um Experimento de Implementação de Processadores Dedicados em Plataformas de Prototipação de Sistemas Embarcados

Cleo-LIRMM: Um Experimento de Implementação de Processadores Dedicados em Plataformas de Prototipação de Sistemas Embarcados Cleo-LIRMM: Um Experimento de Implementação de Processadores Dedicados em Plataformas de Prototipação de Sistemas Embarcados Fernando Gehm Moraes 1 Ney Laert Vilar Calazans 2 Felipe Rocha da Silva 3 Maurício

Leia mais

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Ano Lectivo: 200/2009 Data: 6 de Janeiro de 2006 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta

Leia mais

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II CENTRO UNIVERSITÁRIO POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÓGICAS ENGENHARIA DA COMPUTAÇÃO INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II UNICENP / NCET

Leia mais

VHDL Very High Speed Integrated Circuit Hardware Description Language

VHDL Very High Speed Integrated Circuit Hardware Description Language Universidade Federal do Paraná Departamento de Informática Introdução VHDL Very High Speed Integrated Circuit Hardware Description Language Linguagem para descrição de sistemas digitais Evoluiu para simulação,

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas. Prof. Dr. Fabian Vargas Índice 1. Portas Lógicas 1.1 Introdução 1.2 Diagramas de Tempo 1.3 Análise Booleana de Circuitos Lógicos Básicos 2. Circuitos Combinacionais 2.1. Introdução aos Circuitos Combinacionais

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 3/maio/21 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O senho da versão monociclo

Leia mais

Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis

Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis Tiago dos Santos Patrocinio, Ivan Saraiva Silva Departamento de Computação Universidade Federal do Piauí

Leia mais

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa.

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Máquina Multinível Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando para o processador.

Leia mais

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Cesar da Costa Cost036@attglobal.net UNITAU Universidade de Taubaté Departamento de Engenharia Mecânica Pós-Graduação

Leia mais

Algoritmo. Prof. Anderson Almeida Ferreira. Agradeço ao prof. Guilherme Tavares de Assis por fornecer slides que fazem parte desta apresentação

Algoritmo. Prof. Anderson Almeida Ferreira. Agradeço ao prof. Guilherme Tavares de Assis por fornecer slides que fazem parte desta apresentação 1 Algoritmo Prof. Anderson Almeida Ferreira Agradeço ao prof. Guilherme Tavares de Assis por fornecer slides que fazem parte desta apresentação Desenvolvimento de programas 2 Análise do problema Desenvolvimento

Leia mais

Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel

Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel Desenvolvimento de uma calculadora baseada numa FPGA e num touch panel Rui Costa, João Limas, Inês Oliveira Resumo - Este artigo descreve uma calculadora baseada numa FPGA (Field Programmable Gate Array).

Leia mais

Parte # 3 - Circuitos Combinatórios

Parte # 3 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 3 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2012 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador

Leia mais

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE5406 - Sistemas Digitais semestre 2011/1 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

UTFPR EL66J 2011/2. Módulos-padrão combinacionais

UTFPR EL66J 2011/2. Módulos-padrão combinacionais UTFPR EL66J 2/2 Módulos-padrão combinacionais Refresh istemas numéricos e códigos binários. Análise e projeto de circuitos combinacionais. Módulos-padrão combinacionais Nesta aula: ecodificador (decoder),

Leia mais

Expressões Lógicas Comandos de Seleção

Expressões Lógicas Comandos de Seleção Expressões Lógicas e Comandos de Seleção Prof. de Algoritmos IA Expressões Lógicas São expressões que resultam em valores lógicos : verdadeiro ou falso. Em VB: a constante True representa verdadeiro a

Leia mais

5º Experimento: Somador Binário de números com sinal

5º Experimento: Somador Binário de números com sinal Capítulo 1 5º Experimento: Somador Binário de números com sinal 1.1 Objetivos Usar uma porta XOR de duas entradas para realizar a função unária de negação. Usar um somador binário para somar números sem

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

GABRIELA SILVA RIBEIRO

GABRIELA SILVA RIBEIRO UNIVERSIDADE FEDERAL DO ESPÍRITO SANTO CENTRO TECNOLÓGICO DEPARTAMENTO DE INFORMÁTICA GABRIELA SILVA RIBEIRO ORANGECAD WEB UMA FERRAMENTA DE APOIO À SÍNTESE DE CIRCUITOS LÓGICOS SEQUENCIAIS VITÓRIA 2011

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

FLÁVIO KENJI NISHIDA PROJETO DE UM MULTIPLICADOR EM PONTO FLUTUANTE

FLÁVIO KENJI NISHIDA PROJETO DE UM MULTIPLICADOR EM PONTO FLUTUANTE FLÁVIO KENJI NISHIDA PROJETO DE UM MULTIPLICADOR EM PONTO FLUTUANTE LONDRINA PR 2013 FLÁVIO KENJI NISHIDA PROJETO DE UM MULTIPLICADOR EM PONTO FLUTUANTE Trabalho de Conclusão de Curso apresentado ao curso

Leia mais

SQL Procedural. Josino Rodrigues Neto josinon@gmail.com

SQL Procedural. Josino Rodrigues Neto josinon@gmail.com SQL Procedural Josino Rodrigues Neto josinon@gmail.com SQL Procedural Agregada em SQL-92 As ferramentas têm nomes para suas linguagens SQL procedurais/embutidas Oracle : PL/SQL Postgres PL/Pgsql SQL Server

Leia mais