Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Tamanho: px
Começar a partir da página:

Download "Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET"

Transcrição

1 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório: 17/07/2010

2 2 Objetivo: Usando as ferramentas apresentadas no laboratório anterior simular o funcionamento de um somador. Aspectos Teóricos: Como foi visto em sala, continuaremos usando circuitos combinacionais através da montagem de somador que pode ter outras funções (de um subtrator dependendo apenas de um arranjo de portas lógicas). Tais circuitos exercem funções aritméticas, utilizando uma combinação de portas lógicas. Como numa soma com números de mais de 1 bit temos a necessidade de considerar a soma das entradas com um possível carry, para isso montamos um circuito combinacional que faz a soma de 3 bit, apresenta o resultado desta soma e o possível carry. S i = A i B i C i 1 C i 1 = A i. B i + A i. C i 1 + B i. C i 1 Procedimento Experimental: Continuando com a utilização da linguagem VHDL, vamos montar um código que descreve o funcionamento de um Full Adder. E a partir deste código vamos montar o código de um circuito que faz a soma de dois números de 4 bits. A partir do que já foi visto podemos construir o código do Full Adder: ENTITY fulladder IS PORT ( a, b, cin : IN BIT; cout, r : OUT BIT); END fulladder; ARCHITECTURE somador OF fulladder IS cout <= (a and b) or (a and cin) or (cin and b) ; r <= (a xor b) xor cin; END somador;

3 3 Lembrando que devemos escrevê-lo e salvá-lo com o mesmo nome da entidade e extensão.vhd. Agora para construirmos o código do circuito que faz a soma de dois números de 4 bits devemos usar de um projeto hierárquico. Para isso é de bom grado que a entidade que usaremos seja salva num local onde o compilador encontre-a, declarar os components e os sinais e em seguida apresentar o conteúdo de component para cada instância, seguindo este padrão: ENTITY entity_name IS PORT ( input and output definitions); END entity_name; ARCHITECTURE arch_name OF entity_name IS --component declaration(s); --signal declaration(s); --Component instantiation(s); --Other statements; END arch_name; Seguindo esse modelo montamos o seguinte código: ENTITY soma2n4b IS PORT( c0 : IN BIT; a, b : IN BIT_VECTOR (4 downto 1); c4 : OUT BIT; s : OUT BIT_VECTOR (4 downto 1)); END soma2n4b; ARCHITECTURE adder OF soma2n4b IS Descrição das portas do circuito que desejamos descrever o funcionamento. Descrição de portas que são um vetor de entradas/saídas, onde a(4) é a entrada mais significativa. COMPONENT fulladder PORT ( a, b, cin : IN BIT; cout, r : OUT BIT); END COMPONENT; Declaração do component a ser usado na hierarquia. SIGNAL c : BIT_VECTOR (3 downto 1); Declaração dos sinais usados internamente. adder1: fulladder PORT MAP (a => a(1), b => b(1), cin => c0, cout => c(1), r => s(1)); adder2: fulladder PORT MAP (a => a(2), b => b(2), cin => c(1), cout => c(2), r => s(2)); adder3: fulladder PORT MAP (a => a(3), b => b(3), cin => c(2), cout => c(3), r => s(3)); adder4: fulladder PORT MAP (a => a(4), b => b(4), cin => c(3), cout => c4, r => s(4)); END adder; Declaração das funções lógicas das saídas. Usadas pelas instâncias do component.

4 4 Se quisermos usar apenas algumas portas do component ou usá-las em uma ordem diferente devemos usar a notação acima. Mas quando iremos usar todas as portas do component as linhas sublinhadas acima podem ser reescritas de forma mais eficiente da seguinte forma: PORT MAP (a(1), b(1), c0, c(1), s(1)); Basta listar as portas no PORT MAP na ordem correta. Assim podemos substituir o código sublinhado por: adder1: fulladder PORT MAP (a(1), b(1), c0, c(1), s(1); adder2: fulladder PORT MAP (a(2), b(2), c(1), c(2), s(2)); adder3: fulladder PORT MAP (a(3), b(3), c(2), c(3), s(3)); adder4: fulladder PORT MAP (a(4), b(4), c(3), c4, s(4)); END adder; Podemos escrever aquelas linhas de uma forma mais eficiente ainda, observando que ela é uma linha comum e as outras podem ser obtidas a partir dela através de iterações, dai temos adder(i): fulladder PORT MAP (a(i), b(i), c(i-1), c(i), s(i)); Assim podemos substituir o código sublinhado por: SIGNAL c : BIT_VECTOR (4 downto 0); c(0) <= c0; adders: FOR i IN 1 to 4 GENERATE adder: fulladder PORT MAP (a(i),b(i),c(i-1),c(i),s(i)); END GENERATE; c4 <= c(4); END adder; A declaração GENERATE criará hardware que corresponde ao intervalo de variáveis indexadas por i. Neste caso, i vai de 1 a 4, a instrução instancia quatro instâncias do Full Adder. Já que temos uma entrada, saída e três sinais internos, devemos utilizar um sinal interno de 5 bits (BIT_VECTOR (4 downto 0)), se quisermos incluir todos bits de forma indexada. A entrada de carry c0, definido na declaração de entidade, é atribuída ao elemento do vetor c(0). Da mesma forma, para a saída c4, é atribuída o valor do elemento c(4). É fácil de expandir o somador mudando a declaração do intervalo do FOR GENERATE.

5 5 Após montarmos o projeto hierárquico, devemos simulá-lo. Para isso vamos em Waveform editor e clicamos com o botão direito e selecionamos Enter Node From SNF, então selecionamos List, => e OK. Teremos uma janela como mostra a Figura 1. Figura 1 - Simulação do Full Adder Podemos alterar as entradas clicando com o botão direito sobre o ícone da entrada e selecionar Ungroup, então veremos (na Figura 2) que a variável a irá se dividir em quatro. Agora podemos alterar cada entrada do vetor a. Depois fazemos o mesmo para o vetor b. Figura 2 - Alterando um vetor de entradas

6 6 Após alterar as entradas devemos agrupá-las novamente, para facilitar a visualização do funcionamento do circuito proposto. Para isso basta selecionar as entradas que desejamos agrupar e clicar com o botão direito sobre o ícone da entrada e selecionar Enter Group, dar um nome para o grupo e selecionar que seja mostrado em Decimal, como segue nas Figura 3 e Figura 4. Figura 3 - Agrupando variáveis de um vetor Figura 4 - Agrupando variáveis de um vetor Lembrando sempre de respeitar a ordem dos algarismos mais significativos, eles devem estar na parte superior e que a saída da soma de dois números de 4 bits deve ser representada com 5 bits, logo o carry out do somador de 2 números de 4 bits representa uma saída e deve ser agrupado com as variáveis de saída.

7 7 Agora sim podemos simular o código VHDL proposto, como mostra a Figura 5. Figura 5 - Simulação do Full Adder Uma vez que aprendemos a fazer um projeto hierárquico, propomos que realize uma expansão desse projeto e crie um código que descreva um circuito que faça a soma de dois números de 16 bits e em seguida simule para observar o bom funcionamento do circuito.

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

12.1 - Inserção de Ponto de Entrada. Autoenge Módulo Automação Página 1

12.1 - Inserção de Ponto de Entrada. Autoenge Módulo Automação Página 1 12 - Módulo Automação Residencial - Autopower Manual de utilização Módulo Automação Residencial Para maiores informações, acesse www.autoenge.com.br ou por email suporte@autoenge.com.br 12.1 - Inserção

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Portas Lógicas Básicas: Parte 2 Simulação Lógica Laboratório 1 - continuação Portas Lógicas Básicas: Parte 2 Simulação Lógica 5. Introdução à Simulação Lógica Neste laboratório, você tomará contato com a ferramenta de projeto digital Max+Plus II, da

Leia mais

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000 Laboratório de Sistemas Digitais /0 Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 000 OBJETIVO Aprender a utilizar o Editor de Esquemáticos e o Simulador Lógico Criar e simular os esquemáticos

Leia mais

Ordem de Serviços. Abaixo será informado o procedimento passo a passo para emissão da ordem de serviço no CIAF.

Ordem de Serviços. Abaixo será informado o procedimento passo a passo para emissão da ordem de serviço no CIAF. Ordem de Serviços. Abaixo será informado o procedimento passo a passo para emissão da ordem de serviço no CIAF. 1 -Abertura da Ordem de Serviços: 1.1 Entre em Serviços + Ordem de Serviço + Abertura de

Leia mais

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015 Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 - Compilando VHDL com o ALTERA MAX

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário CADERNO DE INFORMÁTICA FACITA Faculdade de Itápolis Aplicativos Editores de Texto WORD 2007/2010 Sumário Editor de texto... 3 Iniciando Microsoft Word... 4 Fichários:... 4 Atalhos... 5 Área de Trabalho:

Leia mais

Chamada Pública Procedimentos para utilização da Chamada Pública no sistema SMARam Versão 1.0

Chamada Pública Procedimentos para utilização da Chamada Pública no sistema SMARam Versão 1.0 Chamada Pública Procedimentos para utilização da Chamada Pública no sistema SMARam Marcio Tomazelli Coordenador de Sistemas Gustavo Cezarino Programador Pleno Versão 1.0 Índice Índice...2 Índice de Figuras...2

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Página 1. Manual Rotinas. Emissão de contratos. Suporte T.I

Página 1. Manual Rotinas. Emissão de contratos. Suporte T.I Página 1 Manual Rotinas Emissão de contratos Página 2 Sumário 595 Contrato... 03 316 Digitar pedido de venda... 08 329 Cancelamentos de pedidos... 13 9014 Agrupamento de pedidos... 14 3323 Agrupar pedido

Leia mais

MANUAL DA SECRETARIA

MANUAL DA SECRETARIA MANUAL DA SECRETARIA Conteúdo Tela de acesso... 2 Liberação de acesso ao sistema... 3 Funcionários... 3 Secretaria... 5 Tutores... 7 Autores... 8 Configuração dos cursos da Instituição de Ensino... 9 Novo

Leia mais

5º Experimento: Somador Binário de números com sinal

5º Experimento: Somador Binário de números com sinal Capítulo 1 5º Experimento: Somador Binário de números com sinal 1.1 Objetivos Usar uma porta XOR de duas entradas para realizar a função unária de negação. Usar um somador binário para somar números sem

Leia mais

R O B Ó T I C A. Sensor Smart. Ultrassom. Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13. www.robouno.com.br

R O B Ó T I C A. Sensor Smart. Ultrassom. Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13. www.robouno.com.br R O B Ó T I C A Sensor Smart Ultrassom Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13 Sensor Smart Ultrassom 1. Introdução Os sensores de ultrassom ou ultrassônicos são sensores que detectam

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

CRIANDO GRUPO DE CANAIS

CRIANDO GRUPO DE CANAIS TUTORIAL Nº 004 CRIANDO GRUPO DE CANAIS (TRANSPARÊNCIAS) Autor: Ciro Ota São José dos Campos/SP, 09 de Abril de 2008 INTRODUÇÃO: Nesse manual iremos ensinar como fazer a criação dos GCs, ou seja, Grupo

Leia mais

ACESSANDO A PÁGINA DO CADASTRO DE DEPÓSITOS

ACESSANDO A PÁGINA DO CADASTRO DE DEPÓSITOS ACESSANDO A PÁGINA DO CADASTRO DE DEPÓSITOS Localize o processo no sistema. Na capa do processo em INFORMAÇÕES ADICIONAIS clique na opção DEPÓSITO JUDICIAL. 1. CADASTRANDO CONTAS JUDICIAIS 1.1- Na tela

Leia mais

Estatística no EXCEL

Estatística no EXCEL Estatística no EXCEL Prof. Ms. Osorio Moreira Couto Junior 1. Ferramentas... 2 2. Planilha de dados... 3 2.1. Introdução... 3 2.2. Formatação de células... 4 2.3. Inserir ou Excluir Linhas e Colunas...

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Ministério da Agricultura, Pecuária e Abastecimento. SPARK - Comunicador Instantâneo MANUAL DO USUÁRIO

Ministério da Agricultura, Pecuária e Abastecimento. SPARK - Comunicador Instantâneo MANUAL DO USUÁRIO SPARK - Comunicador Instantâneo MANUAL DO USUÁRIO SUMARIO 1. Introdução... 3 2. Opções de acesso ao Spark... 3 2.1. Acesso via Comunicador - Spark... 3 2.2. Acesso via SparkWeb... 4 3. Inserindo frase

Leia mais

Capítulo 8. CICLOS. Tabela 8.1 Programa8a.f90.

Capítulo 8. CICLOS. Tabela 8.1 Programa8a.f90. Capítulo 8. CICLOS OBJETIVOS DO CAPÍTULO Conceito de ciclo Comandos do FORTRAN: DO END DO, EXIT 8.1 programa8a.f90 Para inicializar as atividades deste capítulo, deve-se executar: 1) Para acessar o programa

Leia mais

Organização de Computadores Software

Organização de Computadores Software Organização de Computadores Software Professor Marcus Vinícius Midena Ramos Colegiado de Engenharia de Computação (74)3614.1936 marcus.ramos@univasf.edu.br www.univasf.edu.br/~marcus.ramos Objetivos: Entender

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

2ª Lista de Exercícios

2ª Lista de Exercícios UNIVERSIDADE FEDERAL FLUMINENSE Escola de Engenharia Departamento de Telecomunicações TET00025 - Técnicas Digitais II Prof. João Marcos Meirelles da Silva 2ª Lista de Exercícios Referência para a Lista

Leia mais

Manual do Usuário ipedidos MILI S.A. - D.T.I.

Manual do Usuário ipedidos MILI S.A. - D.T.I. Manual do Usuário ipedidos MILI S.A. - D.T.I. MILI S.A. - D.T.I. Índice 1 ACESSANDO O PORTAL IPEDIDOS... 3 1.1 Login...5 1.2 Tela Principal, Mensagens e Atendimento On-line...6 2 CADASTRAR... 10 2.1 Pedido...10

Leia mais

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL 1 CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL Sumário 1.1. Sistemas de Numeração... 3 1.1.1. Conversão Decimal Binária... 3 1.1.2. Conversão Binária Decimal... 3 1.1.3. Conversão Binária Hexadecimal...

Leia mais

Adicionando Propriedades e Funcionalidades aos Componentes Parte II

Adicionando Propriedades e Funcionalidades aos Componentes Parte II Adicionando Propriedades e Funcionalidades aos Componentes Parte II Quantas vezes eu não lamentei por este componente não ter uma propriedade que guardasse uma lista paralela a lista que é mostrada em

Leia mais

TUTORIAL: AQUISIÇÃO DE SINAIS USANDO LABVIEW E MATLAB

TUTORIAL: AQUISIÇÃO DE SINAIS USANDO LABVIEW E MATLAB TUTORIAL: AQUISIÇÃO DE SINAIS USANDO LABVIEW E MATLAB Autor: Breno Ebinuma Takiuti Revisão: Gabriela Alexandra A. Ferreira Ilha Solteira, Janeiro de 2013. 1. Introdução Um dos instrumentos amplamente utilizados

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Oficina de produção de tutoriais. TUTORIAL Muan - Pixilation

Oficina de produção de tutoriais. TUTORIAL Muan - Pixilation Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Oficina de produção de tutoriais TUTORIAL Muan - Pixilation Oficina de produção de tutoriais

Leia mais

INSCRIÇÃO ON- LINE REVEZAMENTOS A PARTIR DE 2015 INDICADO PARA TÉCNICOS

INSCRIÇÃO ON- LINE REVEZAMENTOS A PARTIR DE 2015 INDICADO PARA TÉCNICOS INSCRIÇÃO ON- LINE REVEZAMENTOS A PARTIR DE 2015 INDICADO PARA TÉCNICOS 2015 ABMN Escrito por Julian Romero jromero@abmn.org.br Revisão: 8 March 2015 CARACTERÍSTICAS DO SISTEMA O ACESSO É ATRAVÉS DE LOGIN

Leia mais

EXCEL NA ANÁLISE DE REGRESSÃO

EXCEL NA ANÁLISE DE REGRESSÃO EXCEL NA ANÁLISE DE REGRESSÃO _2010_03_Exercicio _Regressão_exemplo O gerente de uma loja de artigos escolares, cada semana, deve decidir quanto gastar com propaganda e que atrativo (por exemplo preços

Leia mais

..:Restaurantes:.. Manual completo do sistema de vendas Restaurantes. Site..: http:// www.giovaneinformatica.com.br

..:Restaurantes:.. Manual completo do sistema de vendas Restaurantes. Site..: http:// www.giovaneinformatica.com.br ..:Restaurantes:.. Manual completo do sistema de vendas Restaurantes Site..: http:// www.giovaneinformatica.com.br E-mail..: suporte@giovaneinformatica.com.br :.. Índice ndice..: 1-) CADASTRANDO DE PRODUTOS

Leia mais

Manual do Usuário CMS WordPress Versão atual: 3.0

Manual do Usuário CMS WordPress Versão atual: 3.0 Manual do Usuário CMS WordPress Versão atual: 3.0 1 - Introdução O Centro de Gestão do Conhecimento Organizacional, CGCO, criou, em março de 2008, uma equipe para atender à demanda de criação de novos

Leia mais

Manual do Usuário. Solução de Informações Gerenciais - BI

Manual do Usuário. Solução de Informações Gerenciais - BI Manual do Usuário Solução de Informações Gerenciais - BI Versão 08.2011 Conteúdo Solução de Informações Gerencias - BI...4 1 - O que encontramos em Versões?...5 2 - O que contém a aba Ajuda?...6 2.1 -

Leia mais

Manual SIGEESCOLA Matrícula - 2009

Manual SIGEESCOLA Matrícula - 2009 Manual SIGEESCOLA Matrícula - 2009 GOVERNO DO ESTADO DO CEARÁ SECRETARIA DA EDUCAÇÃO BÁSICA COORDENADORIA DE DESENVOLVIMENTO DA ESCOLA PASSO A PASSO DA UTILIZAÇÃO DO SIGE ESCOLA 2009 1. PARA ACESSAR O

Leia mais

Computadores XII: Aprendendo a Somar A4 Texto 3

Computadores XII: Aprendendo a Somar A4 Texto 3 Computadores XII: Aprendendo a Somar A4 Texto 3 http://www.bpiropo.com.br/fpc20051017.htm Sítio Fórum PCs /Colunas Coluna: B. Piropo Publicada em 17/10/2005 Autor: B.Piropo Na coluna anterior, < http://www.forumpcs.com.br/viewtopic.php?t=131250

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins Organização de Computadores 1 1 - INTRODUÇÃO Prof. Luiz Gustavo A. Martins Arquitetura Define os elementos que impactuam diretamente na execução lógica do programa. Corresponde aos atributos visíveis veis

Leia mais

Manual das planilhas de Obras v2.5

Manual das planilhas de Obras v2.5 Manual das planilhas de Obras v2.5 Detalhamento dos principais tópicos para uso das planilhas de obra Elaborado pela Equipe Planilhas de Obra.com Conteúdo 1. Gerando previsão de custos da obra (Módulo

Leia mais

Nota de Aplicação IHM 004

Nota de Aplicação IHM 004 N.A 004 Configuração de receita básica e avançada. Objetivo: Este documento informa detalhadamente o procedimento de criação dos dois tipos de receitas, básica e avançada. Obs: O software demonstrativo

Leia mais

Aritmética Binária e. Bernardo Nunes Gonçalves

Aritmética Binária e. Bernardo Nunes Gonçalves Aritmética Binária e Complemento a Base Bernardo Nunes Gonçalves Sumário Soma e multiplicação binária Subtração e divisão binária Representação com sinal Sinal e magnitude Complemento a base. Adição binária

Leia mais

Manual de Operacionalização do Módulo de Prestação de Contas PCS

Manual de Operacionalização do Módulo de Prestação de Contas PCS Manual de Operacionalização do Módulo de Prestação de Contas PCS Versão Fevereiro/2013 Índice PCS - Módulo de Prestação de Contas...3 Acesso ao Módulo PCS...3 1. Contas financeiras...5 1.1. Cadastro de

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

TUTORIAL AMBIENTE WEB PORTUGOL

TUTORIAL AMBIENTE WEB PORTUGOL 1 TUTORIAL AMBIENTE WEB PORTUGOL O WEBportugol é uma ferramenta para ajudar você a construir seus primeiros algoritmos e com isso aprender lógica de programação. A ferramenta utiliza uma linguagem chamada

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: O Computador como uma Máquina Multinível (cont.) José Gonçalves - LPRM/DI/UFES Introdução à Engenharia de Computação Máquina Multinível Moderna Figura 1 Máquina

Leia mais

Tabela e Gráficos Dinâmicos Como estruturar dinamicamente dados no Excel

Tabela e Gráficos Dinâmicos Como estruturar dinamicamente dados no Excel Tabela e Gráficos Dinâmicos Como estruturar! Para que serve a Tabela e o Gráfico Dinâmico?! Como criar uma Tabela Dinâmica?! Como criar um Gráfico Dinâmico?! Como podemos atualizar dos dados da Tabela

Leia mais

LGTi Tecnologia. Manual - Outlook Web App. Soluções Inteligentes. Siner Engenharia

LGTi Tecnologia. Manual - Outlook Web App. Soluções Inteligentes. Siner Engenharia LGTi Tecnologia Soluções Inteligentes Manual - Outlook Web App Siner Engenharia Sumário Acessando o Outlook Web App (Webmail)... 3 Email no Outlook Web App... 5 Criar uma nova mensagem... 6 Trabalhando

Leia mais

Especificação do Trabalho

Especificação do Trabalho Especificação do Trabalho I. Introdução O objetivo deste trabalho é abordar a prática da programação orientada a objetos usando a linguagem Java envolvendo os conceitos de classe, objeto, associação, ligação,

Leia mais

Pré-Venda. Para efetuar a montagem de uma Pré-Venda em seu sistema segue o passo a passo.

Pré-Venda. Para efetuar a montagem de uma Pré-Venda em seu sistema segue o passo a passo. Pré-Venda Para efetuar a montagem de uma Pré-Venda em seu sistema segue o passo a passo. 1 - Iniciando a Pré-Venda: 1.1 Entre em Financeiro + Vendas + Pré-Venda (Conforme tela abaixo) 1.2 Para que a pré-venda

Leia mais

2 Não possuo o e-mail institucional. Como devo proceder? Resposta: Dirija-se ao RH do câmpus e solicite a criação do seu e-mail institucional.

2 Não possuo o e-mail institucional. Como devo proceder? Resposta: Dirija-se ao RH do câmpus e solicite a criação do seu e-mail institucional. SUMÁRIO 1 Como obter o login e a senha para acesso ao Portal do Professor?... 3 2 Não possuo o e-mail institucional. Como devo proceder?... 3 3 Como acessar o Portal do Professor?... 3 4 Como proceder

Leia mais

..:Sgi-Plus:.. Manual completo do sistema de vendas Sgi-plus. Site..: http:// www.giovaneinformatica.com.br

..:Sgi-Plus:.. Manual completo do sistema de vendas Sgi-plus. Site..: http:// www.giovaneinformatica.com.br ..:Sgi-Plus:.. Manual completo do sistema de vendas Sgi-plus Site..: http:// www.giovaneinformatica.com.br E-mail..: suporte@giovaneinformatica.com.br 1-) CADASTRANDO DE PRODUTOS -Detalhes da tela -Salvando

Leia mais

SEI Superintendência de Estudos Econômicos e Sociais da Bahia Av Luiz Viana Filho, 435-4ª avenida, 2º andar CAB CEP 41.750-300 Salvador - Bahia Tel.

SEI Superintendência de Estudos Econômicos e Sociais da Bahia Av Luiz Viana Filho, 435-4ª avenida, 2º andar CAB CEP 41.750-300 Salvador - Bahia Tel. SIDE Sistema de Dados Estatísticos AJUDA SEI Superintendência de Estudos Econômicos e Sociais da Bahia Av Luiz Viana Filho, 435-4ª avenida, 2º andar CAB CEP 41.750-300 Salvador - Bahia O QUE O NOSSO BANCO

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

CRIAÇÃO DE CURSO. 1. Criar a Disciplina

CRIAÇÃO DE CURSO. 1. Criar a Disciplina CRIAÇÃO DE CURSO 1. Criar a Disciplina 2. Incluindo o Curso Título do Curso A NATUREZA NÃO PODE SER ALTERADA 3. Criar um Programa 4. Inserindo um Programa 5. Inserindo Disciplina no Programa Se existir

Leia mais

SIE - SISTEMA DE INFORMAÇÕES PARA O ENSINO CADASTRO DE FUNCIONÁRIOS

SIE - SISTEMA DE INFORMAÇÕES PARA O ENSINO CADASTRO DE FUNCIONÁRIOS SIE - SISTEMA DE INFORMAÇÕES PARA O ENSINO CADASTRO DE FUNCIONÁRIOS SANTA MARIA FATECIENS 2008 Este manual tem por finalidade apresentar as especificações detalhadas da aplicação de Cadastro de Funcionários,

Leia mais

1 Esfera de aço 1 Transitor BC547

1 Esfera de aço 1 Transitor BC547 CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE SÃO VICENTE DO SUL ROTEIRO DE MONTAGEM DA ATIVIDADE DE AQUISIÇÃO AUTOMÁTICA DE DADOS REOMETRIA DE FLUIDOS NEWTONIANOS PROFESSOR RAFHAEL BRUM WERLANG 1.0 OBJETIVO

Leia mais

Implementando uma Classe e Criando Objetos a partir dela

Implementando uma Classe e Criando Objetos a partir dela Análise e Desenvolvimento de Sistemas ADS Programação Orientada a Obejeto POO 3º Semestre AULA 04 - INTRODUÇÃO À PROGRAMAÇÃO ORIENTADA A OBJETO (POO) Parte: 2 Prof. Cristóvão Cunha Implementando uma Classe

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

TRABALHANDO COM O ORÇAMENTO NO GERENCIAL

TRABALHANDO COM O ORÇAMENTO NO GERENCIAL Execute o ícone na área de trabalho: TRABALHANDO COM O ORÇAMENTO NO GERENCIAL Aguarde um instante, irá aparecer à seguinte tela: Clique no botão EXECUTAR e aguarde um momento. Após ter clicado em EXECUTAR

Leia mais

MOODLE NA PRÁTICA PEDAGÓGICA

MOODLE NA PRÁTICA PEDAGÓGICA Carmen Mathias Agosto - 2009 I. CADASTRO 1. Acessar o site de treinamento (teste): http://moodle_course.unifra.br/ 2. Faça o login, clicando em acesso no lado direito superior da tela: 3. Coloque seu nome

Leia mais

Banco de Dados Microsoft Access: Criar tabelas

Banco de Dados Microsoft Access: Criar tabelas Banco de Dados Microsoft Access: Criar s Vitor Valerio de Souza Campos Objetivos do curso 1. Criar uma no modo de exibição Folha de Dados. 2. Definir tipos de dados para os campos na. 3. Criar uma no modo

Leia mais

Principais códigos utilizados. Codificação. Código binário puro. Codificação binária. Codificação Binária. Código Binário puro e suas variantes

Principais códigos utilizados. Codificação. Código binário puro. Codificação binária. Codificação Binária. Código Binário puro e suas variantes Codificação Principais códigos utilizados Computadores e Equipamentos de Comunicações Digitais trabalham com representação e códigos. A codificação binária de sinais é largamente utilizada em Sistemas

Leia mais

TRANSFORMAÇÃO ENTRE SISTEMAS (ROTAÇÃO E TRANSLAÇÃO)

TRANSFORMAÇÃO ENTRE SISTEMAS (ROTAÇÃO E TRANSLAÇÃO) GUIA PRÁTICO DataGeosis Versão 2.3 TRANSFORMAÇÃO ENTRE SISTEMAS (ROTAÇÃO E TRANSLAÇÃO) Paulo Augusto Ferreira Borges Engenheiro Agrimensor Treinamento e Suporte Técnico 1. INTRODUÇÃO Transformação entre

Leia mais

PROCEDIMENTO DO CLIENTE

PROCEDIMENTO DO CLIENTE Título: Plug-in Cinema PROCEDIMENTO DO CLIENTE Objetivo: Aprender a utilizar a ferramenta. Onde: Empresa Quem: Cliente Quando: Início O plug-in Cinema, desenvolvido com a tecnologia do Backsite, é um gerenciador

Leia mais

CRIAÇÃO DE MAPAS TEMÁTICOS COM ALOV MAP

CRIAÇÃO DE MAPAS TEMÁTICOS COM ALOV MAP CRIAÇÃO DE MAPAS TEMÁTICOS COM ALOV MAP INTRODUÇÃO Este é o segundo tutorial sobre o desenvolvimento de webmapping com o ALOV Map. Para obter informações sobre como elaborar uma aplicação básica com o

Leia mais

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES PUC Rio Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) Atualizado em ORGANIZAÇÃO DE COMPUTADORES Prof. Rui Mano E mail Internet: rmano@tpd.puc rio.br CONCEITOS DE LÓGICA DIGITAL CIRCUITOS

Leia mais

GLOSSÁRIO. 1. Introdução... 02. 2. Objetivo... 02. 3. Clonagem do Sistema... 03. 4. Configuração dos Campos... 06

GLOSSÁRIO. 1. Introdução... 02. 2. Objetivo... 02. 3. Clonagem do Sistema... 03. 4. Configuração dos Campos... 06 GLOSSÁRIO 1. Introdução... 02 2. Objetivo... 02 3. Clonagem do Sistema... 03 4. Configuração dos Campos... 06 5. Realização de Testes na Versão 3.10... 07 6. Realização de Testes em ambiente de Produção...

Leia mais

MANIPULANDO BANCO DE DADOS NO POSTGRESQL SEM FAZER USO DE COMANDOS SQL

MANIPULANDO BANCO DE DADOS NO POSTGRESQL SEM FAZER USO DE COMANDOS SQL MANIPULANDO BANCO DE DADOS NO POSTGRESQL SEM FAZER USO DE COMANDOS SQL INTRODUÇÃO: O PostgreSQL é um dos mais populares e avançados sistemas gerenciadores de banco de dados (SGBD) com código aberto. É

Leia mais

Tutorial do Max Plus II Baseline

Tutorial do Max Plus II Baseline Tutorial do Max Plus II Baseline Thiago Valentin de Oliveira 10/08/2010 1 Baixando, Licenciando e Instalando o Software O primeiro passo é adquirir o software Max Plus II com uma licença. Isso pode ser

Leia mais

Banco de Dados Microsoft Access: Criar tabelas. Vitor Valerio de Souza Campos

Banco de Dados Microsoft Access: Criar tabelas. Vitor Valerio de Souza Campos Banco de Dados Microsoft Access: Criar tabelas Vitor Valerio de Souza Campos Objetivos do curso 1. Criar uma tabela no modo de exibição Folha de Dados. 2. Definir tipos de dados para os campos na tabela.

Leia mais

Tutorial microsoft Excel

Tutorial microsoft Excel Tutorial microsoft Excel GET da Engenharia Computacional Curso de Mídias Digitais mai 17, 2011 Vamos construir a seguinte tabela Salte uma linha e uma coluna logo apos na coluna B insira os dados da figura

Leia mais

Roteiro de Treinamento SGCS CORP 5 1ª Etapa

Roteiro de Treinamento SGCS CORP 5 1ª Etapa Roteiro de Treinamento SGCS CORP 5 1ª Etapa 1 Arquivos 1.1 Cadastro de Seguradoras (Arquivos Seguradoras) Grade (ordenação, colocação das colunas) Cadastro e Observações Desabilitar seguradoras que não

Leia mais

AR PDV SOLUÇÕES AR CONSULTORIA EM INFORMÁTICA

AR PDV SOLUÇÕES AR CONSULTORIA EM INFORMÁTICA 1 Sumário: 1. AR PDV...02 2. Registro / Login...03 3. Configuração...03 4. Abertura de Caixa...03 5. Registro de Vendas...04 a. Passos para Emissão do Cupom Fiscal...05 b. Inserindo Produtos...06 c. Formas

Leia mais

Manual Fácil 1 DOWNLOAD E INSTALAÇÃO. 1. Como faço o download do Jimbo Mobile?

Manual Fácil 1 DOWNLOAD E INSTALAÇÃO. 1. Como faço o download do Jimbo Mobile? Seja bem-vindo(a) ao Manual Fácil Jimbo. O jeito mais simples de você aprender a usar o nosso software gratuito. Com esse passo a passo você fica sabendo como acrescentar despesas, alterar lançamentos,

Leia mais

Falso: F = Low voltage: L = 0

Falso: F = Low voltage: L = 0 Curso Técnico em Eletrotécnica Disciplina: Automação Predial e Industrial Professor: Ronimack Trajano 1 PORTAS LOGICAS 1.1 INTRODUÇÃO Em 1854, George Boole introduziu o formalismo que até hoje se usa para

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Aula 4 Estatística Conceitos básicos

Aula 4 Estatística Conceitos básicos Aula 4 Estatística Conceitos básicos Plano de Aula Amostra e universo Média Variância / desvio-padrão / erro-padrão Intervalo de confiança Teste de hipótese Amostra e Universo A estatística nos ajuda a

Leia mais

Manual MQS. Logo após colocar essas informações abrirá a página inicial do sistema:

Manual MQS. Logo após colocar essas informações abrirá a página inicial do sistema: Manual MQS Para acessar o sistema MQS na versão em PHP, basta clicar no link: www.mqs.net.br/plus. Colocar login e senha que foram fornecidos por email. Logo após colocar essas informações abrirá a página

Leia mais

Passo a Passo DP7. Iniciando o Sistema: Acesse o Sistema digitando: LOGIN: super / SENHA: super. Aparecerá a seguinte tela:

Passo a Passo DP7. Iniciando o Sistema: Acesse o Sistema digitando: LOGIN: super / SENHA: super. Aparecerá a seguinte tela: Passo a Passo DP7 Iniciando o Sistema: Acesse o Sistema digitando: LOGIN: super / SENHA: super Aparecerá a seguinte tela: Digite uma nova senha entre letras e números. ( Deve conter no mínimo 6 caracteres.)

Leia mais

Bem-vindo ao tópico sobre consultas no SAP Business One.

Bem-vindo ao tópico sobre consultas no SAP Business One. Bem-vindo ao tópico sobre consultas no SAP Business One. 1 Neste tópico, você aprenderá a criar consultas SQL utilizando as ferramentas de consulta do SAP Business One Assistente de consultas e Gerador

Leia mais

TUTORIAL DO CORRETOR DE IMÓVEIS PARA UTILIZAÇÃO DO SISTEMA :: REDE CERTA ::

TUTORIAL DO CORRETOR DE IMÓVEIS PARA UTILIZAÇÃO DO SISTEMA :: REDE CERTA :: TUTORIAL DO CORRETOR DE IMÓVEIS PARA UTILIZAÇÃO DO SISTEMA :: REDE CERTA :: Considerações iniciais >> O sistema é totalmente online, por isso dispensa a instalação de aplicativos em seu computador para

Leia mais

APOSTILA ZELIO SOFT 2

APOSTILA ZELIO SOFT 2 APOSTILA ZELIO SOFT 2 Prof. Maycon Max Kopelvski Fev/2010 rev.01 Página 1 de 20 1) Clique no ícone para abrir o programa Zelio Soft 2 2) Abrirá a tela inicial do Programa: Clique em Criar um novo programa.

Leia mais

W o r d p r e s s 1- TELA DE LOGIN

W o r d p r e s s 1- TELA DE LOGIN S U M Á R I O 1Tela de Login...2 2 Painel......3 3 Post...4 4 Ferramentas de Post...10 5 Páginas...14 6 Ferramentas de páginas...21 7 Mídias...25 8 Links......30 1 1- TELA DE LOGIN Para ter acesso ao wordpress

Leia mais

Banco de Dados. Microsoft Access. Índice

Banco de Dados. Microsoft Access. Índice Banco de Dados Microsoft Access PARTE 03 edição 2007 Índice 19-) Consulta... 27 20) Criando uma consulta... 27 21) Salvando a consulta... 28 22) Selecionando os campos das tabelas para a consulta... 29

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES SISTEMAS DE NUMERAÇÃO: REPRESENTAÇÃO EM PONTO FLUTUANTE. Prof. Dr. Daniel Caetano 2012-1

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES SISTEMAS DE NUMERAÇÃO: REPRESENTAÇÃO EM PONTO FLUTUANTE. Prof. Dr. Daniel Caetano 2012-1 ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES SISTEMAS DE NUMERAÇÃO: REPRESENTAÇÃO EM PONTO FLUTUANTE Prof. Dr. Daniel Caetano 2012-1 Objetivos Compreender o que é notação em ponto flutuante Compreender a

Leia mais

Sumário. 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7

Sumário. 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7 Ferragens Sumário 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7 1. Propósito Para definirmos todos os materiais que devem compor uma estrutura de ferragem,

Leia mais