XOR, Detecção de Erro, Comparadores

Tamanho: px
Começar a partir da página:

Download "XOR, Detecção de Erro, Comparadores"

Transcrição

1 XOR, Detecção de Erro, Comparadores -input XOR gates Igual a porta OR, mas exclui o caso em que ambas as entradas são. Empregado em: códigos de verificação de erros comparadores aritmética

2 XOR de múltiplas entradas Cálculo da Paridade Ímpar Saída = se número ímpar de entradas é igual Detecta qualquer bit simples em erro. Usado para gerar e verificar a consistência de dados em transmissões, memórias, etc. Árvore de Paridade Circuito mais veloz EVEN

3 Esquemas de Detecção de Erros para Transmissão Serial VRC: Vertical Redundancy Checking um bit de paridade por palavra transmitida não detecta número par de bits em erro LRC: Longitudinal Redundancy Checking um byte de paridade por bloco de palavras transmitido paridade é calculada no sentido longitudinal (bit i) não detecta número par de bits em erro na longitude i CRC: Cyclic Redundancy Checking dois bytes (CRC e CRC) são calculados para cada bloco de palavras detecta múltiplos bits em erro no bloco de palavras Exemplo de LRC PALAVRAS Bits LRC VRC 0 0 Block Checker paridade PAR bloco de palavras

4 Exemplo de LRC PALAVRAS Bits LRC Erro Erro VRC 0 0 Block Checker paridade PAR bloco de palavras Procedimento na Detecção de Erros Transmissão: VRC: palavra+ paridade palavra+ paridade... palavran+ paridade LRC: palavra+ paridade palavra+ paridade... palavran+ paridade LRC CRC: palavra palavra... palavran CRC CRC Os códigos para detecção de erros são calculados e enviados pelo transmissor; O receptor também calcula e compara com o código recebido; Em caso de erro, o bloco de dados deve ser reenviado

5 Implementação de LRC recepção OK se após acumulação do LRC recebido LRC=0 CLOCK CP CP Q Q D S CP R Q _ Q Clear_L LRC i bit i CLOCK CP CP Q Q Clear_L LRC LRC LRCN J Q J Q J Q CP _ CP _ CP _ K Q K Q K Q bit R bit R bit N R 0 - bit Parity Generator/Checker x0 (a) A B C () () (0) Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e (b) 0 A B C D E F G H I EVEN ODD D E F () () () () EVEN G H I () () () () ODD 0

6 Geração/Verificação de Paridade de Memória Memória armazena bits mais um bit de paridade para cada byte; Microprocessador usa bus bi-direcional D[0-] para acessar memória; RD/WR indicam operação de leitura/escrita; ERROR= para indicar erros de paridade durante a leitura; AND na entrada I garante I=0 exceto durante leitura; Geração/Verificação de Paridade de Memória Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e x0 ERROR D[0:] U RD WR x0 U D0 D D 0 D D D D D RP x0 A B C D E F G H I EVEN ODD U PI D0 D D D D D D D LS0 RD_L U Memory Chips READ WRITE DIN0 DOUT0 DIN DOUT DIN DOUT DIN DOUT DIN DOUT DIN DOUT DIN DOUT DIN DOUT PIN POUT DO0 DO DO DO DO DO DO DO x G G A A A A A A A A Y Y Y Y Y Y Y Y D0 D D D D D D D U PO -state

7 Comparadores de Igualdade Comparador -bit Comparador -bit EQ_L Comparador usando (oc) Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e (a) A0 B0 / x U +V R /DIFF (b) A0 B0 A B A B A B x U U 0 U U +V R /DIFF

8 Outros comparadores: < = > comparador de bits comparador de bits x x ALTBIN AEQBIN AGTBIN ALTBOUT AEQBOUT AGTBOUT 0 A0 B0 A B A B A B Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e < = > Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e P0 Q0 P Q P Q P Q P Q P Q P Q P Q P EQ Q P GT Q Outras condições:

9 Aritmética Bloco básico: full adder somador de -bit produz soma e carry Tabela verdade: X Y Cin S Cout Circuito do Full-adder

10 Somador Ripple Velocidade limitada pelo encadeamento do carry Somadores mais velozes eliminam ou limitam a propagação do carry carry lookahead 0 x -bit adder Usa carry lookahead internamente 0

11 Unidade Lógica e Aritmética Circuito combinacional que executa diferentes operações lógicas e aritméticas num par de operandos de n-bits; A operação é especificada por entradas de seleção: S[0-] e M (=lógica; 0=aritmética). Entradas e saídas são ativas em low; uma outra tabela é usada para E/S ativa high (ver manual); Operações mais usadas: A plus B plus Cin: soma complemento a A minus B minus Cin: subtração comp. a ALU Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e x S0 S G S S P M A=B CIN A0 F0 B0 A F 0 B A F 0 B A F B COUT Table - Functions performed by the x -bit ALU. Inputs Function S S S S0 M = 0 (arithmetic) M = (logic) F = A minus plus CIN F = A F = A B minus plus CIN F = A + B F = A B minus plus CIN F = A + B 0 0 F = plus CIN F = F = A plus (A + B ) plus CIN F = A B 0 0 F = A B plus (A + B ) plus CIN F = B 0 0 F = A minus B minus plus CIN F = A B 0 F = A + B plus CIN F = A + B F = A plus (A + B) plus CIN F = A B 0 0 F = A plus B plus CIN F = A B 0 0 F = A B plus (A + B) plus CIN F = B 0 F = A + B plus CIN F = A + B 0 0 F = A plus A plus CIN F = F = A B plus A plus CIN F = A B 0 F = A B plus A plus CIN F = A B F = A plus CIN F = A

12 ALU e ALUs compactas com (principais) funções apenas possui saídas carry look ahead para cascateamento Inputs S S S0 Function F = F = B minus A minus plus CIN 0 0 F = A minus B minus plus CIN 0 F = A plus B plus CIN 0 0 F = A B 0 F = A + B 0 F = A B F = Table - Functions performed by the x and x -bit ALUs. (a) x (b) x S0 S G S P CIN A0 F0 B0 A F B A F B A B F Copyright 000 by Prentice Hall, Inc. Digital Design Principles and Practices, /e S0 S S OVR CIN COUT A0 F0 B0 A F B A F B A B F

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs)

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) João Paulo Baptista de Carvalho joao.carvalho@inesc-id.pt Circuitos Aritméticos Circuitos aritméticos são aqueles que realizam

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais Circuitos Combinacionais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui armazenamento de valores no circuito Valor dos sinais de saída depende

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: ULA. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: ULA. Prof. Dr. Marcos A. Simplicio Jr. versão: 3. PC 35 istemas Digitais I Circuitos Combinatórios locos ásicos: UL Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/26) daptado por Glauber (28) Unidade Lógica ritmética (UL) Um loco Lógico uncional que dispõe

Leia mais

Redes de Computadores. Prof. André Y. Kusumoto

Redes de Computadores. Prof. André Y. Kusumoto Redes de Computadores Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Nível de Enlace A comunicação entre dois equipamentos geograficamente separados

Leia mais

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos 3-May-8 PC 35 (PC5) istemas Digitais I Módulo Circuitos Combinatórios locos ásicos Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/6) locos básicos Multiplicadores UL Gerador/Detector de Paridade Exercícios

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

PCS Sistemas Digitais I. Códigos para Detecção e Correção de Erros. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016)

PCS Sistemas Digitais I. Códigos para Detecção e Correção de Erros. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) PCS 3115 Sistemas Digitais I Códigos para Detecção e Correção de Erros Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber (2018) Códigos para Detecção de Erros Erro: dado alterado

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

Códigos de Detecção de Erros 2ª. parte. Prof. Ricardo de O. Duarte DECOM - UFOP

Códigos de Detecção de Erros 2ª. parte. Prof. Ricardo de O. Duarte DECOM - UFOP Códigos de Detecção de Erros 2ª. parte Prof. Ricardo de O. Duarte DECOM - UFOP Códigos de Checksum Principais características: Código separável. Usados em Pen-drives, HDs, Floppy Disks, Redes. Código Não

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Capítulo 1 Conceitos Introdutórios

Capítulo 1 Conceitos Introdutórios Capítulo 1 Conceitos Introdutórios slide 1 1.4 Sistemas de Números Digitais Compreender os sistemas digitais requer um entendimento dos sistemas decimal, binário, octal e hexadecimal. Decimal dez símbolos

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Aritmética Computacional - Inteiros - Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno Sumário A unidade lógico-aritmética Representação de números inteiros

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 4 - Projetos de Circuitos Combinacionais Aritméticos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal

Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal Sistemas Digitais Circuitos Aritméticos e Representação de Números com Sinal João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Circuitos Aritméticos Circuitos aritméticos são

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) RESUMO Esta experiência tem por objetivo

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Comunicação de dados. Introdução

Comunicação de dados. Introdução Comunicação de dados Introdução Os microcontroladores AVR estão equipados com dois pinos destinados à comunicação serial, UART (Universal Asynchronous Reciever/Transmitter), ou seja, permitem trocar informações

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

Redes de Computadores

Redes de Computadores Nível de Enlace Inst tituto de Info ormátic ca - UF FRGS Redes de Computadores Camada de enlace de dados Introdução Aula 06 Aplicação Apresentação Sessão Transporte Rede Enlace Físico Protocolo nível de

Leia mais

Nível da Lógica Digital

Nível da Lógica Digital Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

09 Unidade de controlo. v0.1

09 Unidade de controlo. v0.1 09 Unidade de controlo v0.1 Introdução Um micro-processador pode consistir apenas em alguns elementos: ALU Registers Lógica de controlo (ou unidade de controlo) 18 December 2014 Sistemas Digitais 2 Lógica

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Circuitos Lógicos Combinacionais (parte 3) Sistemas de Informação CPCX UFMS Slides: Prof. Renato F. dos Santos Adaptação: Fernando Maia da Mota

Circuitos Lógicos Combinacionais (parte 3) Sistemas de Informação CPCX UFMS Slides: Prof. Renato F. dos Santos Adaptação: Fernando Maia da Mota Circuitos Lógicos Combinacionais (parte 3) Sistemas de Informação CPCX UFMS Slides: Prof. Renato F. dos Santos Adaptação: Fernando Maia da Mota 4.6 Circuitos Exclusive-OR e Exclusive-NOR Exclusive-OR (OU-EXCLUSIVO)

Leia mais

Capítulo 3. A camada de enlace de dados

Capítulo 3. A camada de enlace de dados slide 1 Capítulo 3 A camada de enlace de dados slide 2 Onde vive o protocolo de camada de enlace? slide 3 Questões de projeto da camada de enlace Serviços de rede da camada de enlace Quadros Controle de

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

CIRCUITOS SOMADORES = = =

CIRCUITOS SOMADORES = = = IRUITOS SOMADORES Os circuitos digitais que efetuam operações aritméticas devem processar os dados na forma binária, ou seja, devem executar as operações matemáticas com os números binários omo o sistema

Leia mais

a b s cout Tabela 1: tabela verdade do meio somador

a b s cout Tabela 1: tabela verdade do meio somador APO7-29/04/10 Turma A Lisardo Sallaberry Kist 159839 César Garcia Daudt 180164 Introdução Nesta aula os alunos foram introduzidos ao programa de MaxPlus II. Devia-se executar a montagem de um meio-somador

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2012 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI FACULDADE FUCAPI Eletrônica Digital Circuitos Combinacionais, M.Sc. Doutorando em Informática (UFAM) Mestre em Engenharia Elétrica (UFAM) Engenheiro de Telecomunicações (FUCAPI) Famílias Lógicas 2 Famílias

Leia mais

Introdução. Aplicações de Circuitos Combinacionais. Combinacionais. Combinacionais. Combinacionais. Combinacionais. de Eletrônica Digital (Parte III)

Introdução. Aplicações de Circuitos Combinacionais. Combinacionais. Combinacionais. Combinacionais. Combinacionais. de Eletrônica Digital (Parte III) Universidade Federal de ampina Grande epartamento de istemas e omputação Introdução à omputação onceitos ásicos de Eletrônica igital (Parte III) Prof. a Joseana Macêdo Fechine joseana@dsc.ufcg.edu.br Exemplos

Leia mais

Erros e Protocolos de Recuperação Códigos detectores e correctores de erros.

Erros e Protocolos de Recuperação Códigos detectores e correctores de erros. Erros e Protocolos de Recuperação Códigos detectores e correctores de erros. Instituto Superior de Engenharia de Lisboa Departamento de Engenharia, Electrónica, Telecomunicações e Computadores Redes de

Leia mais

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização Hardware: Componentes Básicos Arquitetura dos Computadores Dispositivos de Entrada Processamento Dispositivos de Saída Armazenamento Marco Antonio Montebello Júnior marco.antonio@aes.edu.br Sistema de

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6 Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6 UNIDADE LÓGICA ARITMÉTICA (ULA) E DECODIFICADOR PARA

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 09 3ª Etapa: Projeto Prático Correção Código BCD e Sinal ANEXO: Teste de Simulação Soma e Subtração PROF. MSc.

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 5/abril/29 CIRCUITOS COMBINACIONAIS Circuitos Combinacionais Um circuito combinacional consiste em portas lógicas cujas saídas, em qualquer momento, são determinadas pela

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 56 Suplemento à Aula -T Arquiteturas

Leia mais

Representação de quantidade(número)

Representação de quantidade(número) Códigos Numéricos Representação de quantidade(número) Expressão de significância posicional Béabase A i osalgarismosdessabase ioíndiceposicionaldoalgarismo OalgarismodemaiorsignificadoéB-1 Exemplos 9875

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Representação de quantidade(número) Expressão de significância posicional

Representação de quantidade(número) Expressão de significância posicional Códigos Numéricos Representação de quantidade(número) Expressão de significância posicional Béabase A i osalgarismosdessabase ioíndiceposicionaldoalgarismo OalgarismodemaiorsignificadoéB-1 Exemplos 9875

Leia mais

Exemplo somador de 3 bits

Exemplo somador de 3 bits Exemplo somador de 3 bits 3 números de 1 bit Ci i i Full adder Si Ci1 LS número de 2 bits (pode ser 0, 1, 2 ou 3) MS Escrever uma expressão booleana para as funções Si(Ci,i,i) e Ci1(Ci, i, i) Desenhar

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Nível de Enlace. Nível de Enlace. Serviços. Serviços oferecidos os nível de rede

Nível de Enlace. Nível de Enlace. Serviços. Serviços oferecidos os nível de rede Nível de Enlace Enlace: caminho lógico entre estações. Permite comunicação eficiente e confiável entre dois computadores. Funções: fornecer uma interface de serviço à camada de rede; determinar como os

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Circuitos Combinacionais MSI Parte 2 Prof. Leonardo Augusto Casillo Codificadores x Decodificadores Decodificadores (em sua maioria)

Leia mais

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

Parte # 3 - Circuitos Combinatórios

Parte # 3 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 3 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Circuitos Aritméticos I

Circuitos Aritméticos I Circuitos ritméticos I José Costa Introdução à rquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto uperior Técnico 3--9 José Costa (DEI/IT) Circuitos ritméticos I umário omadores

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Adição no Sistema Binário: É desenvolvida de forma idêntica ao sistema decimal; Apenas quatro casos podem ocorrer: Adição

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

ARITMÉTICA BINÁRIA. Adão de Melo Neto

ARITMÉTICA BINÁRIA. Adão de Melo Neto ARITMÉTICA BINÁRIA Adão de Melo Neto 1 Sumário Adição Multiplicação Subtração Divisão Complemento de 1 Complemento de 2 Representação de um número com sinal Sinal magnitude Complemento de 2 Valor em decimal

Leia mais

Nível de Enlace. Nível de Enlace. Serviços. Serviços. Serviços. Serviços. Serviços oferecidos os nível de rede

Nível de Enlace. Nível de Enlace. Serviços. Serviços. Serviços. Serviços. Serviços oferecidos os nível de rede Nível de Enlace Enlace: caminho lógico entre estações. Permite comunicação eficiente e confiável entre dois computadores. Funções: fornecer uma interface de serviço à camada de rede; determinar como os

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Combinacionais (Parte

Leia mais

lw, sw add, sub, and, or, slt beq, j

lw, sw add, sub, and, or, slt beq, j Datapath and Control Queremos implementar o MIPS Instruções de referência à memória: lw, sw Instruções aritméticas e lógicas: add, sub, and, or, slt Controle de fluxo: beq, j Cinco passos de execução Busca

Leia mais

ELE Microprocessadores I

ELE Microprocessadores I ELE 1078 - Microprocessadores I AULA 13 Conjunto de Instruções do Microprocessador 8085A - Entrada e Saída (I/O) - 13.1 - Grupos de Instruções As instruções no 8085 são distribuídas em 5 grupos: Grupo

Leia mais

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Conforme discutido na última aula, cada operação lógica possui sua própria tabela verdade. A seguir será apresentado o conjunto básico de portas lógicas

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Álgebra Booleana Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Nas primeiras

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS PROGRAMA Disciplina: ELETRÔNICA DIGITAL Código: ELET0037 Carga Horária Semestral: 60 HORAS Obrigatória: sim Eletiva: Número de Créditos: TEÓRICOS: 04; PRÁTICOS: 00; TOTAL: 04 Pré-Requisito: ELET0033 ELETRONICA

Leia mais

3. Revisão de Eletrônica Digital

3. Revisão de Eletrônica Digital 3. Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 3.1 Aritmética Binária Representação de números em complemento de 1 Ex.: 1 1 1 1-1 1 2, 5 = 12,5d ou 12,5 1 Decimal 2 3 2 2 2 1 2

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Ementa à Sistemas de numeração. à Funções

Leia mais

Circuitos Combinacionais. Arquitetura de Computadores I

Circuitos Combinacionais. Arquitetura de Computadores I Circuitos Combinacionais Arquitetura de Computadores I Roteiro } Introdução } Gerador e Verificador de Paridade } Comparadores } Circuitos aritméticos } Somador (Half Adder e Full Adder) } Subtrator (Meio

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Descodificadores Codificadores Multiplexers Demultiplexers

Leia mais

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples Infraestrutura de Hardware Implementação Monociclo de um Processador Simples Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço

Leia mais

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio ARQUITETURA DE COMPUTADORES Nível da Lógica Digital Prof.: Agostinho S. Riofrio Agenda 1. Portas Lógicas 2. Algebra de Boole 3. Equivalencia de circuitos 4. Circuitos Lógicos Digitais 5. Relógio 6. Memória

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais