Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Tamanho: px
Começar a partir da página:

Download "Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior."

Transcrição

1 Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador de números de 2 bits O circuito compara os números A (A 1, A 0 ) e B (B 1,B 0 ), indicando nas saídas se A>B(GT), A=B (EQ) ou A<B(LT), mutuamente exclusivas, isto é, apenas uma destas saídas pode estar activa. Tabela funcional Comparador de 2 bits GT EQ LT A 1 B 1 A 0 B 0 (A>B) (A=B) (A<B) A 1 A>B GT A 1 >B 1 X X A 1 <B 1 X X A 0 A=B A 1 =B 1 A 0 >B EQ A 1 =B 1 A 0 <B B 1 A<B A 1 =B 1 A 0 =B LT B 0 Estrutura interna Da leitura da tabela funcional resulta que: GT(A>B)=1 qd (A 1 >B 1 ) ou (A 1 =B 1 e A 0 >B 0 ) (A 1 B 1 )+((A 1 B 1 ). (A 0 B 0 )) (A 1 B 1 )+((A 1 B 1 ). (A 0 B 0 )) Diagrama lógico EQ(A=B)=1 qd (A 1 =B 1 ) e (A 0 =B 0 ) (A 1 B 1 ). (A 0 B 0 ) (A 1 B 1 ). (A 0 B 0 ) LT(A<B)=1 qd (A 1 <B 1 ) ou (A 1 =B 1 e A 0 <B 0 ) (A 1 B 1 )+((A 1 B 1 ). (A 0 B 0 )) (A 1 B 1 )+((A 1 B 1 ). (A 0 B 0 )) Note-se que as saídas GT, EQ, LT são mutuamente exclusivas pelo que se poderia redefinir uma delas em função das restantes duas, como por exemplo, LT=GT. EQ ou EQ=LT. GT, ou ainda, GT=EQ. LT.

2 Comparador disponível sob a forma de CI Exemplo: 54/74/XXX85 4 Bit Magnitude Comparator Principais funcionalidades: - comparador de 2 números de 4 bits (A 3..A 0 ; B 3..B 0 ); - entradas adicionais para permitir a cascata (I A>B, I A<B, I A=B ); - saídas activas a um (O A>B, O A<B, O A=B ); ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 2/11 Comparador de números de 4xN bits Implementa-se uma cascata de N CI s 7485.

3 Circuitos aritméticos ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 3/11 Existem vários circuitos aritméticos sob a forma de CI, tais como somadores (ou adicionadores), multiplicadores, existindo também unidades combinadas que permitem seleccionar qual a operação a efectuar. Alguns destes circuitos requerem lógica sequencial, pelo que ainda não poderão ser analisados neste contexto. Somadores (adicionadores) Um circuito somador é um circuito que produz a soma de dois números que lhe são fornecidos num determinado código binário. São vários os tipos de circuitos somadores em função do número de bits e do tipo de código binário utilizado nas parcelas e na respectiva soma. Considera-se, a título exemplificativo, apenas os circuitos somadores de números expressos em código binário natural. Exemplo: A= 11 (10) =1011 (2) B= 14 (10) = 1110 (2) Meio adicionador ( half-adder ) Transporte( Carry ) (11) (14) (25) Um circuito meio adicionador realiza a soma de dois números de um bit (A i, B i ), produzindo, tal como acontece na soma com números decimais, um eventual transporte a ser considerado na posição seguinte (C i+1 ). Tabela funcional A i B i S i C i Da tabela funcional, obtém-se: S i =A i B i C i+1 =A i. B i Resultando no diagrama lógico: Na realização de uma soma de números de N bits em código binário natural, o circuito meio adicionador apenas pode ser utilizado na soma dos dois bits menos significativos, pois este tipo de circuito não considera um eventual transporte de posições anteriores.

4 Adicionador completo ( full-adder ) ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 4/11 Um circuito adicionador completo realiza a soma de dois números de um bit (A i, B i ), considerando um transporte (C i ) de posições anteriores e produzindo um transporte a ser considerado na posição seguinte (C i+1 ). Tabela funcional C i A i B i S i C i Da tabela funcional, obtém-se: S i =A i B i C i C i+1 =A i. B i + C i (A i B i ) Diagrama de blocos Diagrama lógico B i A i C i+1 + C i S i O circuito adicionador completo é construído com base em dois circuitos meios adicionadores e uma porta OR alimentada pelos dois transportes resultantes.

5 Adicionador de números de N bits ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 5/11 Com base nos adicionadores completos, é possível construir um circuito adicionador de N bits, colocando-os em cascata ( ripple adder ), tal como se representa na figura. B N-1 A N-1 B 1 A 1 B 0 A 0 C N + C N-1 C 2 + C 1 + C 0 S N-1 S 1 S 0 Qualquer alteração nas entradas B 0, A 0 ou C 0 provoca a propagação dos transportes C 1..C N-1 ao longo da cascata. Esta solução caracteriza-se por apresentar um tempo de propagação elevado e variável em função do número de bits. Considerando a estrutura interna dum adicionador completo, verifica-se que, relativamente à alteração na entrada A i : sendo, T XOR = tempo de propagação de uma porta XOR, T AND = tempo de propagação de uma porta AND, T OR = tempo de propagação de uma porta OR, T XOR >T AND, então, o tempo de propagação na saída C i+1 = T XOR +T AND +T OR, o tempo de propagação na saída Si=2 T XOR. Para um adicionador em cascata de N bits obtém-se: - o tempo de propagação na saída C N = T XOR +N(T AND +T OR ); - o tempo de propagação na saída S N-1 =2 T XOR + (N-1)(T AND +T OR ) Se se considerar que T XOR = 2 T AND = 2T OR = 2 D, onde D representa o tempo de propagação de uma porta lógica, então, o tempo máximo necessário para calcular o resultado é de D(2N+2).

6 Adicionadores com transporte antecipado ( Carry look-ahead / Fast carry ) ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 6/11 Conforme foi referido anteriormente, nos adicionadores em cascata, o tempo de propagação é proporcional ao número de bits do adicionador. Para eliminar esta desvantagem, são construídos circuitos adicionadores com transporte antecipado. Para cada somador completo: C i+1 =A i. B i + C i (A i B i ) Ao definir-se: G i = A i. B i transporte gerado P i = A i B i transporte propagado Obtém-se: C i+1 = G i + C i P i Escrevendo as expressões de S 0, C 1, S 1, C 2, etc., em função de P i e G i, obtém-se: S 0 =A 0 B 0 C 0 =P 0 C 0 C 1 = G 0 + P 0 C 0 S 1 =A 1 B 1 C 1 =A 1 B 1 (G 0 + P 0 C 0 ) =P 1 (G 0 + P 0 C 0 ) C 2 = G 1 + P 1 C 1 = G 1 + P 1 (G 0 + P 0 C 0 )= G 1 + P 1 G 0 + P 1 P 0 C 0 S 2 =A 2 B 2 C 2 =A 2 B 2 (G 1 + P 1 G 0 + P 1 P 0 C 0 ) C 3 = G 2 + P 2 C 2 = G 2 + P 2 (G 1 + P 1 G 0 + P 1 P 0 C 0 )= G 2 + P 2 G 1 + P 2 P 1 G 0 + P 2 P 1 P 0 C Os sinais de saída, S i e C i+1, dependem, agora, directamente dos sinais A i, B i, P i, G i e C 0, onde P i e G i dependem directamente de A i e B i. Assim sendo, o tempo de propagação do adicionador é constante e independente do número de bits do adicionador. Claro que isto tem um custo, o significativo aumento da complexidade do circuito (número de portas lógicas necessárias para determinar os transportes antecipados) à medida que o número de bits do adicionador aumenta. Estrutura interna de um adicionador de 2 bits com transporte antecipado Considerando as expressões obtidas anteriormente para S 0, S 1, C 2, resulta o diagrama lógico da figura.

7 ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 7/11 Adicionador disponível sob a forma de CI Exemplo: 54/74/XXX283 4 Bit Full Adder With Fast Carry Principais funcionalidades: - adicionador de 2 números de 4 bits (A 4..A 1 ; B 4..B 1 ) com transporte antecipado; - saídas ( 1, 2, 3, 4 ); - entrada C 0 e saída C 4 para permitir a cascata; Pode operar com entradas e saídas activas a um ou a zero, ou seja, considerando, lógica positiva ou lógica negativa. Exemplo:

8 Multiplicadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 8/11 Existem várias soluções para a construção de circuitos multiplicadores, nomeadamente no que se refere ao tipo de lógica utilizada, combinacional ou sequencial. Apenas se analisa um circuito multiplicador que tem por base circuitos adicionadores e alguma lógica combinacional. Multiplicador de números de 2 bits O circuito multiplicador da figura realiza o produto de dois números de dois bits (A 1 A 0, B 1 B 0 ), produzindo um resultado de 4 bits (R 3..R 0 ). Ambos os operandos e o resultado são definidos em código binário natural. A 1 A 0 B 1 B 0 R 3 R 2 R 1 R 0 Na implementação deste circuito consideram-se as operações lógicas descritas na figura seguinte, utilizando circuitos adicionadores completos para as operações assinaladas a cinzento. A 1 A 0 X B 1 B 0 0 A 1. B 0 A 0.B 0 + A 1. B 1 A 0. B 1 R 3 R 2 R 1 R 0 Tendo por base o seguinte adicionador completo: C i =Transporte anterior ( Carry in ) C o =Transporte seguinte( Carry out ) Obtém-se o diagrama lógico:

9 Multiplicador de 4 por 3 bits ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 9/11 O circuito da figura multiplica um número de 4 bits (Y 3..Y 0 ) por um número de 3 bits (X 2..X 0 ) colocando o resultado em R 6..R 0. Y 3 Y 2 Y 1 Y 0 x X 2 X 1 X 0 0 X 0. Y 3 X 0. Y 2 X 0. Y 1 X 0.Y 0 + X 1. Y 3 X 1. Y 2 X 1. Y 1 X 1.Y 0 + X 2. Y 3 X 2. Y 2 X 2. Y 1 X 0.Y 0 R 6 R 5 R 4 R 3 R 2 R 1 R 0

10 Geradores/Detectores de paridade ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 10/11 Este tipo de circuito tem particular aplicabilidade na detecção de alguns tipos de erros em sistemas de transmissão de dados binários. Considere-se o sistema de transmissão descrito no diagrama de blocos da figura. Origem Bit de paridade ( 1 ) Destino Bits de dados ( ) Dados Gerador de paridade par Gerador de paridade par Dados Erro de transmissão Ao longo da transmissão, em série ou em paralelo, de um conjunto de bits da origem para o destino, um dos bits de dados pode ser afectado por, por exemplo, ruído eléctrico, e na origem ter o valor 1 e ser detectado no destino como tendo o valor 0. Ao conjunto de bits de dados é adicionado um bit de paridade que sendo também ele transmitido para o destino é utilizado na detecção de eventuais erros. No protocolo de transmissão de dados, a origem e o destino utilizam o mesmo tipo de paridade: - paridade par ( even parity ) número par de uns, incluindo o próprio bit de paridade; - paridade ímpar ( odd parity ) número impar de uns, incluindo o próprio bit de paridade; Gerador/Detector de paridade de 4 bits O gerador/detector para 4 bits produz a saída (I) que indica se o número de 1 s nos 4 bits é par. O complemento desta saída (P) indica o caso contrário, ou seja, se o número de 1 s nos 4 bits é ímpar. Tabela de verdade B 3 B 2 B 1 B 0 I P Mapa de Karnaugh B 3 1 B 0 B I(B3,B2,B1,B0)= FMSP = m(0,3,5,6,9,10,12,15) =(B 3 B 2 B 1 B 0 ) P(B3,B2,B1,B0)= FMSP = m(1,2,4,7,8,11,13,14) =(B 3 B 2 B 1 B 0 ) B 2 Estrutura interna As saídas P e I podem ser utilizadas para gerar paridade par e ímpar respectivamente.

11 Gerador/Detector de paridade disponível sob a forma de CI Exemplo: 54/74/XXX280 9-Bit Odd/Even Parity Generators/Checkers Principais funcionalidades: - gerador/detector de paridade de 9 bits (A.. I). - saída EVEN indica se existe um número par de 1 s nas entradas A.. I. - saída ODD indica se existe um número ímpar de 1 s nas entradas A.. I. ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 11/11

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: DESCODIFICADORES CODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de CIRCUITOS

Leia mais

5º Experimento: Somador Binário de números com sinal

5º Experimento: Somador Binário de números com sinal Capítulo 1 5º Experimento: Somador Binário de números com sinal 1.1 Objetivos Usar uma porta XOR de duas entradas para realizar a função unária de negação. Usar um somador binário para somar números sem

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2012 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Operações aritméticas

Operações aritméticas Circuitos Lógicos Operações aritméticas Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Adição binária A soma binária ocorre da mesma forma que a decimal:» A operação sobre os dígitos na

Leia mais

Representação de Dados

Representação de Dados Representação de Dados Propriedades Domínio - Valores que um tipo de dado pode assumir; Gama de variação - N.º de valores que um dado pode assumir; Precisão Distância entre dois valores consecutivos Operações

Leia mais

Aritmética Binária e. Bernardo Nunes Gonçalves

Aritmética Binária e. Bernardo Nunes Gonçalves Aritmética Binária e Complemento a Base Bernardo Nunes Gonçalves Sumário Soma e multiplicação binária Subtração e divisão binária Representação com sinal Sinal e magnitude Complemento a base. Adição binária

Leia mais

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Representação de grandeza com sinal O bit mais significativo representa o sinal: 0 (indica um número

Leia mais

Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis

Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis Implementações e Comparação de Multiplicadores de Alta Velocidade para Dispositivos Reconfiguráveis Tiago dos Santos Patrocinio, Ivan Saraiva Silva Departamento de Computação Universidade Federal do Piauí

Leia mais

Programação ao nível da máquina. Operações lógicas e aritméticas

Programação ao nível da máquina. Operações lógicas e aritméticas Programação ao nível da máquina Operações lógicas e aritméticas Operações lógicas e aritméticas Operações: aritméticas: add, sub, inc, dec, cmp lógicas: and, or, xor, not Realizadas pela ALU (Arithmetic

Leia mais

2. Sistemas de numeração

2. Sistemas de numeração 2. Sistemas de numeração Neste capitulo vai-se estudar vários sistemas de representação numeração e os quais se designam por sistemas de numeração. Na tabela x apresenta-se os diversos sistemas numéricos

Leia mais

Circuitos Digitais Cap. 5

Circuitos Digitais Cap. 5 Circuitos Digitais Cap. 5 Prof. José Maria P. de Menezes Jr. Objetivos Aritmética Digital Adição Binária Subtração Binária Representação de números com sinal Complemento de 2 Negação Subtração como soma

Leia mais

Capítulo III Circuitos Digitais Combinacionais

Capítulo III Circuitos Digitais Combinacionais Capítulo III Circuitos Digitais Combinacionais 1 Introdução Vimos no Capítulo II que uma desejada função lógica pode ser implementada mediante a combinação de portas lógicas. Esta combinação de portas

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Organização do curso Introdução à eletrônica digital

Leia mais

Exemplo de Subtração Binária

Exemplo de Subtração Binária Exemplo de Subtração Binária Exercícios Converta para binário e efetue as seguintes operações: a) 37 10 30 10 b) 83 10 82 10 c) 63 8 34 8 d) 77 8 11 8 e) BB 16 AA 16 f) C43 16 195 16 3.5.3 Divisão binária:

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Operadores lógicos (bit a bit)

Operadores lógicos (bit a bit) Operadores lógicos (bit a bit) Instrução AND Instruções: AND OR XOR NOT Os operandos destas instruções podem ser de 8 ou 16 bits 10010011

Leia mais

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Sumário Unidade Lógica Aritmetrica Registradores Unidade Lógica Operações da ULA Unidade de Ponto Flutuante Representação

Leia mais

Circuitos Combinacionais. Sistemas digitais

Circuitos Combinacionais. Sistemas digitais Circuitos Combinacionais Sistemas digitais Agenda } Codificador X Decodificador } Código BCD 8421, código BCH, código 9876543210 } Display de 7 segmentos } Multiplexador X Demultiplexador } Comparadores

Leia mais

Conversores D/A e A/D

Conversores D/A e A/D Conversores D/A e A/D Introdução Um sinal analógico varia continuamente no tempo. Som Temperatura Pressão Um sinal digital varia discretamente no tempo. Processamento de sinais digitais Tecnologia amplamente

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

XOR, Detecção de Erro, Comparadores

XOR, Detecção de Erro, Comparadores XOR, Detecção de Erro, Comparadores -input XOR gates Igual a porta OR, mas exclui o caso em que ambas as entradas são. Empregado em: códigos de verificação de erros comparadores aritmética XOR de múltiplas

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação A Informação e sua Representação (Parte III) Prof.a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

LÓGICA DE PROGRAMAÇÃO. Vitor Valerio de Souza Campos

LÓGICA DE PROGRAMAÇÃO. Vitor Valerio de Souza Campos LÓGICA DE PROGRAMAÇÃO Vitor Valerio de Souza Campos Exemplos de algoritmos Faça um algoritmo para mostrar o resultado da multiplicação de dois números. Algoritmo em descrição narrativa Passo 1 Receber

Leia mais

Representação de Dados

Representação de Dados Representação de Dados Introdução Todos sabemos que existem diferentes tipos de números: fraccionários, inteiros positivos e negativos, etc. Torna-se necessária a representação destes dados em sistema

Leia mais

04 Simplificação de funções lógicas. v0.1

04 Simplificação de funções lógicas. v0.1 4 Simplificação de funções lógicas v. Introdução Funções lógicas podem ter muitas variáveis e assim ser muito complexas Podemos simplificá-las analiticamente mas poderá não ser uma tarefa fácil Existem

Leia mais

Arquitetura de Computadores. Tipos de Instruções

Arquitetura de Computadores. Tipos de Instruções Arquitetura de Computadores Tipos de Instruções Tipos de instruções Instruções de movimento de dados Operações diádicas Operações monádicas Instruções de comparação e desvio condicional Instruções de chamada

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Comunicação de dados. Introdução

Comunicação de dados. Introdução Comunicação de dados Introdução Os microcontroladores AVR estão equipados com dois pinos destinados à comunicação serial, UART (Universal Asynchronous Reciever/Transmitter), ou seja, permitem trocar informações

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Aritmética Computacional Slide 1 Sumário Unidade Lógica e Aritmética Representação de Números Inteiros Aritmética de Números Inteiros Representação de Números

Leia mais

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos.

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos. Objetivos 2. Sistemas de Numeração, Operações e Códigos Revisar o sistema de numeração decimal Contar no sistema de numeração binário Converter de decimal para binário e vice-versa Aplicar operações aritméticas

Leia mais

Aula 6 Aritmética Computacional

Aula 6 Aritmética Computacional Aula 6 Aritmética Computacional Introdução à Computação ADS - IFBA Representação de Números Inteiros Vírgula fixa (Fixed Point) Ponto Flutuante Para todos, a quantidade de valores possíveis depende do

Leia mais

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL 1 CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL Sumário 1.1. Sistemas de Numeração... 3 1.1.1. Conversão Decimal Binária... 3 1.1.2. Conversão Binária Decimal... 3 1.1.3. Conversão Binária Hexadecimal...

Leia mais

Protocolo TCP/IP. Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados:

Protocolo TCP/IP. Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados: Protocolo TCP/IP Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados: Número IP Máscara de sub-rede O Número IP é um número no seguinte formato: x.y.z.w Não podem existir

Leia mais

Capítulo 2. Numéricos e Códigos. 2011 Pearson Prentice Hall. Todos os direitos reservados.

Capítulo 2. Numéricos e Códigos. 2011 Pearson Prentice Hall. Todos os direitos reservados. Capítulo 2 Sistemas Numéricos e Códigos slide 1 Os temas apresentados nesse capítulo são: Conversão entre sistemas numéricos. Decimal, binário, hexadecimal. Contagem hexadecimal. Representação de números

Leia mais

Fabio Bento fbento@ifes.edu.br

Fabio Bento fbento@ifes.edu.br Fabio Bento fbento@ifes.edu.br Eletrônica Digital Sistemas de Numeração e Códigos 1. Conversões de Binário para Decimal 2. Conversões de Decimal para Binário 3. Sistema de Numeração Hexadecimal 4. Código

Leia mais

0111100 + 0011111 1011011

0111100 + 0011111 1011011 ESOL SUPERIOR DE TENOLOGI E DE GESTÃO - INSTITUTO POLITÉNIO DE RGNÇ 200 07 4. a. gama de variação de um número em complemento para 2 é: -2 - n 2 -, em que é o número de bits do número representado. ssim

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

Quadro de consulta (solicitação do mestre)

Quadro de consulta (solicitação do mestre) Introdução ao protocolo MODBUS padrão RTU O Protocolo MODBUS foi criado no final dos anos 70 para comunicação entre controladores da MODICON. Por ser um dos primeiros protocolos com especificação aberta

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

Exercícios de Circuitos Combinacionais

Exercícios de Circuitos Combinacionais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios de Circuitos Combinacionais FONTE: ENADE 2005 e 2008 Exercício 1 2 João, ao tentar consertar o módulo eletrônico de um carrinho de brinquedos, levantou

Leia mais

Aula 8 Circuitos Integrados

Aula 8 Circuitos Integrados INTRODUÇÃO À ENGENHRI DE COMPUTÇÃO PONTIFÍCI UNIVERSIDDE CTÓLIC DO RIO GRNDE DO SUL FCULDDE DE ENGENHRI ula Circuitos Integrados Introdução Portas Lógicas em Circuitos Integrados Implementação de Funções

Leia mais

RAID Redundat Arrays of Inexpensive Disks

RAID Redundat Arrays of Inexpensive Disks RAID Redundat Arrays of Inexpensive Disks Criado em alternativa para os discos grandes e caros. Justificativa: Substituindo discos grandes por muitos discos pequenos, o desempenho melhoraria mais cabeças

Leia mais

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES PUC Rio Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) Atualizado em ORGANIZAÇÃO DE COMPUTADORES Prof. Rui Mano E mail Internet: rmano@tpd.puc rio.br CONCEITOS DE LÓGICA DIGITAL CIRCUITOS

Leia mais

Truques e Dicas. = 7 30 Para multiplicar fracções basta multiplicar os numeradores e os denominadores: 2 30 = 12 5

Truques e Dicas. = 7 30 Para multiplicar fracções basta multiplicar os numeradores e os denominadores: 2 30 = 12 5 Truques e Dicas O que se segue serve para esclarecer alguma questão que possa surgir ao resolver um exercício de matemática. Espero que lhe seja útil! Cap. I Fracções. Soma e Produto de Fracções Para somar

Leia mais

Introdução. A Informação e sua Representação (Parte II) Universidade Federal de Campina Grande. Unidade Acadêmica de Sistemas e Computação

Introdução. A Informação e sua Representação (Parte II) Universidade Federal de Campina Grande. Unidade Acadêmica de Sistemas e Computação Universidade Federal de Campina Grande Unidade Acadêmica de Sistemas e Computação Introdução à Computação A Informação e sua Representação (Parte II) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Universidade do Minho Departamento de Electrónica Industrial. Sistemas Digitais. Exercícios de Apoio - I. Sistemas de Numeração

Universidade do Minho Departamento de Electrónica Industrial. Sistemas Digitais. Exercícios de Apoio - I. Sistemas de Numeração Universidade do Minho Departamento de Electrónica Industrial Sistemas Digitais Exercícios de Apoio - I Sistemas de Numeração CONVERSÃO ENTRE SISTEMAS DE NUMERAÇÃO Conversão Decimal - Binário Números Inteiros

Leia mais

Nível de Enlace. Nível de Enlace. Serviços. Serviços. Serviços. Serviços. Serviços oferecidos os nível de rede

Nível de Enlace. Nível de Enlace. Serviços. Serviços. Serviços. Serviços. Serviços oferecidos os nível de rede Nível de Enlace Enlace: caminho lógico entre estações. Permite comunicação eficiente e confiável entre dois computadores. Funções: fornecer uma interface de serviço à camada de rede; determinar como os

Leia mais

Licenciatura em Engenharia Electrotécnica e de Computadores 1998/99. Erros

Licenciatura em Engenharia Electrotécnica e de Computadores 1998/99. Erros Licenciatura em Engenharia Electrotécnica e de Computadores Análise Numérica 1998/99 Erros Objectivos: Arredondar um número para n dígitos significativos. Determinar os erros máximos absoluto e relativo

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

Cálculo Numérico Aula 1: Computação numérica. Tipos de Erros. Aritmética de ponto flutuante

Cálculo Numérico Aula 1: Computação numérica. Tipos de Erros. Aritmética de ponto flutuante Cálculo Numérico Aula : Computação numérica. Tipos de Erros. Aritmética de ponto flutuante Computação Numérica - O que é Cálculo Numérico? Cálculo numérico é uma metodologia para resolver problemas matemáticos

Leia mais

CAP. 2 CONSIDERAÇÕES SOBRE OS CRITÉRIOS DE DECISÃO

CAP. 2 CONSIDERAÇÕES SOBRE OS CRITÉRIOS DE DECISÃO CAP. 2 CONSIDERAÇÕES SOBRE OS CRITÉRIOS DE DECISÃO 1. OS CRITÉRIOS DE DECISÃO Dentre os métodos para avaliar investimentos, que variam desde o bom senso até os mais sofisticados modelos matemáticos, três

Leia mais

Sistemas de numeração

Sistemas de numeração E Sistemas de numeração Aqui estão apenas números ratificados. William Shakespeare A natureza tem algum tipo de sistema de coordenadas geométrico-aritmético, porque a natureza tem todos os tipos de modelos.

Leia mais

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos 3 Objectivos - Configurar os parâmetros associados à comunicação série assíncrona. - Saber implementar um mecanismo de menus para efectuar a entrada e saída de dados, utilizando como interface um terminal

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

CAP. I ERROS EM CÁLCULO NUMÉRICO

CAP. I ERROS EM CÁLCULO NUMÉRICO CAP. I ERROS EM CÁLCULO NUMÉRICO 0. Introdução Por método numérico entende-se um método para calcular a solução de um problema realizando apenas uma sequência finita de operações aritméticas. A obtenção

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Pipeline -- Conflito de dados paradas e adiantamentos -- Conflito de controle detecção de desvios e descarte de instruções -- Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno

Leia mais

Principais códigos utilizados. Codificação. Código binário puro. Codificação binária. Codificação Binária. Código Binário puro e suas variantes

Principais códigos utilizados. Codificação. Código binário puro. Codificação binária. Codificação Binária. Código Binário puro e suas variantes Codificação Principais códigos utilizados Computadores e Equipamentos de Comunicações Digitais trabalham com representação e códigos. A codificação binária de sinais é largamente utilizada em Sistemas

Leia mais

ActivALEA. active e actualize a sua literacia

ActivALEA. active e actualize a sua literacia ActivALEA active e actualize a sua literacia N.º 0 - DIIAGRAMA DE EXTREMOS E QUARTIIS Por: Maria Eugénia Graça Martins Departamento de Estatística e Investigação Operacional da FCUL memartins@fc.ul.pt

Leia mais

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Ano Lectivo: 200/2009 Data: 6 de Janeiro de 2006 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta

Leia mais

INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO. Engenharia Electrotécnica. Microprocessadores. 2ºano - 1ºsemestre

INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO. Engenharia Electrotécnica. Microprocessadores. 2ºano - 1ºsemestre INSTITUTO POLITÉCNICO DE BRAGANÇA ESCOLA SUPERIOR DE TECNOLOGIA E DE GESTÃO Engenharia Electrotécnica Microprocessadores 2ºano - 1ºsemestre Microprocessador 8085 Exercícios de Programação em Linguagem

Leia mais

Capítulo SETE Números em Ponto Fixo e Ponto Flutuante

Capítulo SETE Números em Ponto Fixo e Ponto Flutuante Capítulo SETE Números em Ponto Fixo e Ponto Flutuante 7.1 Números em ponto fixo Observação inicial: os termos ponto fixo e ponto flutuante são traduções diretas dos termos ingleses fixed point e floating

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos UNIPAC Sistemas Digitais Sistemas de Numeração Engenharia da Computação 3 Período Alex Vidigal Bastos 1 Agenda Objetivos Introdução Sistema Binário Sistema Octal Sistema Hexadecimal Aritméticas no Sistema

Leia mais

Sistemas Numéricos e a Representação Interna dos Dados no Computador

Sistemas Numéricos e a Representação Interna dos Dados no Computador Capítulo 2 Sistemas Numéricos e a Representação Interna dos Dados no Computador 2.0 Índice 2.0 Índice... 1 2.1 Sistemas Numéricos... 2 2.1.1 Sistema Binário... 2 2.1.2 Sistema Octal... 3 2.1.3 Sistema

Leia mais

Representação de Dados Inteiros com sinal

Representação de Dados Inteiros com sinal Representação de Dados Inteiros com sinal 1 Representação de Inteiros Com n bits, podemos ter 2 n valores distintos Considerando só inteiros não-negativos (unsigned) a faixa de valores é [0, 2 n -1] Considerando

Leia mais

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio:

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio: ELETRÔNICA DIGITAl I 1 SISTEMAS DE NUMERAÇÃO INTRODUÇÃO A base dos sistemas digitais são os circuitos de chaveamento (switching) nos quais o componente principal é o transistor que, sob o ponto de vista

Leia mais

Componentes do Computador e. aula 3. Profa. Débora Matos

Componentes do Computador e. aula 3. Profa. Débora Matos Componentes do Computador e modelo de Von Neumann aula 3 Profa. Débora Matos O que difere nos componentes que constituem um computador? Princípios básicos Cada computador tem um conjunto de operações e

Leia mais

CAPÍTULO 1 MEDIÇÃO E O ERRO DE MEDIÇÃO

CAPÍTULO 1 MEDIÇÃO E O ERRO DE MEDIÇÃO CAPÍTULO 1 MEDIÇÃO E O ERRO DE MEDIÇÃO 1.1. Definições do Vocabulário Internacional de Metrologia (VIM) Metrologia: Ciência das medições [VIM 2.2]. Medição: Conjunto de operações que têm por objectivo

Leia mais

Universidade Federal de São João Del Rei - UFSJ

Universidade Federal de São João Del Rei - UFSJ Universidade Federal de São João Del Rei - UFSJ Instituída pela Lei 0.45, de 9/04/00 - D.O.U. de /04/00 Pró-Reitoria de Ensino de Graduação - PROEN Disciplina: Cálculo Numérico Ano: 03 Prof: Natã Goulart

Leia mais

AVALIAÇÃO À DISTÂNCIA 1 GABARITO

AVALIAÇÃO À DISTÂNCIA 1 GABARITO Fundação CECIERJ - Vice Presidência de Educação Superior a Distância Curso de Tecnologia em Sistemas de Computação UFF Disciplina INTRODUÇÃO À INFORMÁTICA... AD1 2 semestre de 2008. Data... AVALIAÇÃO À

Leia mais

Álgebra de Boole. Sistema de Numeração e Códigos. Prof. Ubiratan Ramos

Álgebra de Boole. Sistema de Numeração e Códigos. Prof. Ubiratan Ramos Álgebra de Boole Sistema de Numeração e Códigos Prof. Ubiratan Ramos Sistemas Numéricos Regras para formação: símbolos e posição Por que base 10? Potência de 10 (raiz ou base 10) Representação na Forma

Leia mais

A declaração de uma variável vel define o seu tipo. O tipo do dado define como ele será: Armazenado na memória. Manipulado pela ULA.

A declaração de uma variável vel define o seu tipo. O tipo do dado define como ele será: Armazenado na memória. Manipulado pela ULA. Representação de Dados Tipos de dados: Caracteres (letras, números n e símbolos). s Lógicos. Inteiros. Ponto flutuante: Notações decimais: BCD. A declaração de uma variável vel define o seu tipo. O tipo

Leia mais

REDES DE COMPUTADORES. Detecção e Correção de Erros

REDES DE COMPUTADORES. Detecção e Correção de Erros REDES DE COMPUTADORES Detecção e Correção de Erros A Camada de Enlace Serviços prestados Serviços da camada de Enlace Encapsulamento Endereçamento Controle de erros Controle de fluxo Controle de acesso

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui

Leia mais

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de Escola Secundária c/3º CEB José Macedo Fragateiro Curso Profissional de Nível Secundário Componente Técnica Disciplina de Sistemas Digitais e Arquitectura de Computadores 29/21 Módulo 1: Sistemas de Numeração

Leia mais

Por que o quadrado de terminados em 5 e ta o fa cil? Ex.: 15²=225, 75²=5625,...

Por que o quadrado de terminados em 5 e ta o fa cil? Ex.: 15²=225, 75²=5625,... Por que o quadrado de terminados em 5 e ta o fa cil? Ex.: 15²=225, 75²=5625,... 0) O que veremos na aula de hoje? Um fato interessante Produtos notáveis Equação do 2º grau Como fazer a questão 5 da 3ª

Leia mais

Medidas e Incertezas

Medidas e Incertezas Medidas e Incertezas O que é medição? É o processo empírico e objetivo de designação de números a propriedades de objetos ou eventos do mundo real de forma a descreve-los. Outra forma de explicar este

Leia mais

Aula 2 Sistemas de Numeração (Revisão)

Aula 2 Sistemas de Numeração (Revisão) Aula 2 Sistemas de Numeração (Revisão) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm 1 O que fazer com essa apresentação 2 Agenda Breve revisão da aula anterior

Leia mais

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária 1- Apresentação Binária Os computadores funcionam e armazenam dados mediante a utilização de chaves eletrônicas que são LIGADAS ou DESLIGADAS. Os computadores só entendem e utilizam dados existentes neste

Leia mais

Questões Práticas de Sistemas Combinacionais

Questões Práticas de Sistemas Combinacionais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Combinacionais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 5.3 a 5.11, DDPP, Wakerly DEP.

Leia mais

Portas Lógicas (continuação)

Portas Lógicas (continuação) ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Portas Lógicas (continuação) Circuitos Combinacionais Minimização Booleana 2 A complexidade de uma função Booleana reflete a complexidade combinacional do circuito

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Sistemas de Numeração

Sistemas de Numeração Universidade Tecnológica Federal do Paraná Bacharelado em Ciência da Computação IC3A Introdução à Ciência da Computação Sistemas de Numeração Marcos Silvano O. Almeida Baseado no material do prof. Rogério

Leia mais

REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade

REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade O conteúdo deste documento é baseado no livro Princípios Básicos de Arquitetura e Organização

Leia mais

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais.

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais. iência da omputação ircuitos ombinacionais Parte II Prof. Sergio Ribeiro onteúdo Introdução ódigos inários ódigo Outros ódigos ódigo Excesso de ódigo Gray ódigos de bits ódigo odificadores e ecodificadores

Leia mais

RAID. Propõe o aumento da confiabilidade e desempenho do armazenamento em disco. RAID (Redundant Array of Independent Disks )

RAID. Propõe o aumento da confiabilidade e desempenho do armazenamento em disco. RAID (Redundant Array of Independent Disks ) RAID O que é um RAID? RAID RAID (Redundant Array of Independent Disks ) Matriz Redundante de Discos Independentes Propõe o aumento da confiabilidade e desempenho do armazenamento em disco. RAID Surgiu

Leia mais

IBM1018 Física Básica II FFCLRP USP Prof. Antônio Roque Aula 6. O trabalho feito pela força para deslocar o corpo de a para b é dado por: = =

IBM1018 Física Básica II FFCLRP USP Prof. Antônio Roque Aula 6. O trabalho feito pela força para deslocar o corpo de a para b é dado por: = = Energia Potencial Elétrica Física I revisitada 1 Seja um corpo de massa m que se move em linha reta sob ação de uma força F que atua ao longo da linha. O trabalho feito pela força para deslocar o corpo

Leia mais

Notas de aula #1 SISTEMAS NUMÉRICOS

Notas de aula #1 SISTEMAS NUMÉRICOS UTFPR Disciplina: EL66J Prof. Gustavo B. Borba Notas de aula #1 SISTEMAS NUMÉRICOS - Notação posicional Definição: A posição de cada algarismo no número indica a sua magnitude. A magnitude também é chamada

Leia mais

Valor lógico UM (Verdade, 1): 5 Volts. Valor lógico ZERO (FALSO, 0): 0 Volts.

Valor lógico UM (Verdade, 1): 5 Volts. Valor lógico ZERO (FALSO, 0): 0 Volts. I FUNÇÔES E LOCOS LÓGICOS I.1 - SISTEMS DIGITIS - INTRODUÇÃO Sistemas Digitais Notas de ula 1 O mundo real apresenta duas representações para as medidas. Representação analógica e a representação digital.

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

Agrupamento de Escolas General Humberto Delgado Sede na Escola Secundária/3 José Cardoso Pires Santo António dos Cavaleiros

Agrupamento de Escolas General Humberto Delgado Sede na Escola Secundária/3 José Cardoso Pires Santo António dos Cavaleiros Agrupamento de Escolas General Humberto Delgado Sede na Escola Secundária/3 José Cardoso Pires Santo António dos Cavaleiros 2º ciclo PCA - 6º ano Planificação Anual 2013-2014 MATEMÁTICA METAS CURRICULARES

Leia mais

Lista de Exercícios Sistemas de Numeração

Lista de Exercícios Sistemas de Numeração Lista de Exercícios Sistemas de Numeração 1- (Questão 5 BNDES Profissional Básico Análise de Sistemas - Suporte ano 010) Um administrador de sistemas, ao analisar o conteúdo de um arquivo binário, percebeu

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais