1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

Tamanho: px
Começar a partir da página:

Download "1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II"

Transcrição

1 Índice 1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

2 2 ÍNDICE

3 Lista de Figuras 1.1 As quatro cidades com as rodovias percorridas pelos professores A interface do equipamento a ser desenvolvido Uma visão de alto nível do circuito lógico com entradas e saídas binárias As melhores rotas entre cada duas cidades Algoritmo para a rodovia Expressão lógica obtida da figura Circuito em notação de portas lógicas Implementação em TTL Assistente de criação de projetos Informando o diretório, nome e arquivo principal do projeto Seleção da família de FPGA a ser utilizada Resumo das configurações do projeto Seleção do arquivo de diagrama de bloco Inserção de símbolos no diagrama de bloco Resultado da compilação do projeto Associação dos pinos do circuito aos pinos do chip Criação do arquivo de formas de ondas Insert Node or Bus Inserção dos nodos ao arquivo de formas de ondas Localização dos nodos Relatório das formas de ondas geradas na simulação do circuito Seleção do hardware e do código para download

4 4 LISTA DE FIGURAS

5 Lista de Tabelas 1.1 Tabela verdade para o circuito lógico

6 6 LISTA DE TABELAS

7 Capítulo 1 Práticas de Laboratório 7

8 8 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic) Objetivos: Antes de iniciarmos o uso das ferramentas EDA, faremos uma aula experimental com circuitos denominados TTL (Transistor-Transistor Logic). Estes circuitos foram introduzidos nos anos 70 pelas indústrias de semicondutores e foram os responsáveis pelos inúmeros avanços no desenvolvimento de hardware. Entretanto, com o advento de novas tecnologias, estes circuitos deixaram de ser fabricados e seu uso atualmente está praticamente encerrado. Algumas escolas ainda os utilizam por falta exclusivamente de opções, pois as ferramentas EDA têm um custo relativamente alto para a maioria das escolas brasileiras. Devido a sua importância, apenas para efeito de demonstração, faremos uma aula com a tecnologia TTL. Problema a ser resolvido: Este exemplo foi baseado no livro [1], e adaptado às nossas realidades. A USP decidiu desenvolver um equipamento para auxiliar seus professores em suas viagens entre as universidades de quatro cidades. O equipamento irá fornecer a melhor rota entre duas cidades, baseada no comprimento das rodovias utilizadas: R1 3km, R2 3km, R3 5km, R4 10km, R5 15km e R6 3km. Na figura 1.1 são apresentadas as opções de rotas de viagem que um professor pode escolher saindo de um determinado ponto e chegando a seu destino. Figura 1.1: As quatro cidades com as rodovias percorridas pelos professores Na figura 1.2 é apresentada a interface com o usuário do equipamento a ser desenvolvido. Trata-se de um equipamento pequeno (do tamanho de um Pager), onde o professor deverá ligar as chaves das cidades de origem e destino, e após o processamento do circuito TTL os LEDs com os nomes das rodovias que formam o melhor caminho deverão acender. Na figura 1.3 é apresentada uma visão de alto nível do circuito a ser elaborado com entradas binárias. Figura 1.2: A interface do equipamento a ser desenvolvido

9 1.1. CONSTRUINDO UM CIRCUITO TTL (TRANSISTOR-TRANSISTOR LOGIC) 9 Figura 1.3: Uma visão de alto nível do circuito lógico com entradas e saídas binárias Etapas de desenvolvimento: Etapa 1: Inicialmente, vamos analisar todas as possibilidades de rotas entre as cidades e escolher as melhores rotas (ou seja, a menor distância entre elas). Elas são apresentadas na figura 1.4. Figura 1.4: As melhores rotas entre cada duas cidades A tabela 1.1 foi construída baseando-se na figura 1.4. Ela mostra a relação entre as cidades e as rodovias envolvidas na melhor rota entre elas. É importante observar que para as opções inválidas da tabela (casos impossíveis e não previstos no circuito) terão como resposta as saídas todas com valor zero. Etapa 2: A partir da tabela 1.1, iremos construir o algoritmo apresentado na figura 1.5 que mostra apenas a

10 10 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO Entradas Saídas São Carlos Bauru São Paulo Ribeirão Preto R1 R2 R3 R4 R5 R Tabela 1.1: Tabela verdade para o circuito lógico saída da implementação para a rodovia 3. Para a construção completa do equipamento, seria necessária a implementação do circuito de todas as rodovias. Figura 1.5: Algoritmo para a rodovia 3 Vamos agora introduzir uma notação técnica mais próxima da álgebra booleana. Na figura 1.6 é apresentada a mesma expressão, porém agora de modo mais próximo da implementação por circuitos TTL e com as devidas otimizações. Figura 1.6: Expressão lógica obtida da figura 1.5 Manipulando-se a expressão da figura 1.6, chegamos ao circuito apresentado na figura 1.7. circuito mapeado na tecnologia TTL é mostrado na figura 1.8. Este Etapa 3: Implemente o circuito da figura 1.8 na placa de proto-board e faça toda a sua verificação, montando uma tabela com os resultados obtidos. Compare a tabela obtida com a tabela 1.1 coluna Saída/R3. Elas são idênticas? Se não forem, verifique a fiação (conexão dos chips) e se não há circuitos TTL queimados em seu proto-board.

11 1.1. CONSTRUINDO UM CIRCUITO TTL (TRANSISTOR-TRANSISTOR LOGIC) 11 Figura 1.7: Circuito em notação de portas lógicas Figura 1.8: Implementação em TTL

12 12 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO 1.2 Introdução a ferramenta EDA Quartus II 9.1 Objetivos: Um dos objetivos desta prática é apresentar o fluxo de desenvolvimento de projetos de hardware por meio da utilização da ferramenta EDA (Electronic Design Automation) Quartus II da Altera Corporation. Esta prática, em conjunto com o projeto que será passado em aula, também explora a utilização de alguns componentes presentes na placa DE2-70, como push buttons, switches, LEDs, display de sete segmentos e o FPGA (Field-Programmable Gate Array). Para atingir estes objetivos, um pequeno circuito lógico a ser dado em aula será construído, abordando as funções básicas da ferramenta e faremos a programação do circuito FPGA da placa DE2-70 para a implementação desse nosso circuito. A implementação deste circuito envolve as seguintes etapas: Criação de um novo projeto na ferramenta; Criação de diagramas de blocos; Simulação do circuito e; Programação do hardware. Etapas de desenvolvimento: Etapa 1: Para criar um novo projeto, inicie o Quartus II v9.1, clique em File, New Project Wizard... A figura 1.9 mostra a primeira tela do assistente de criação de projetos. Figura 1.9: Assistente de criação de projetos O assistente irá auxiliá-lo na definição de um nome e um diretório para o projeto, além de outras informações necessárias tais como:

13 1.2. INTRODUÇÃO A FERRAMENTA EDA QUARTUS II Nome da entidade de mais alto nível Arquivos do projeto, outros arquivos fontes e bibliotecas usadas no projeto Dispositivos (chip) e família a serem utilizadas para a compilação Setup da ferramenta EDA. A seguir, clique em Next para iniciar o passo 1 da configuração de seu projeto onde serão informados o diretório de trabalho, o nome do projeto e o nome da entidade de mais alto nível. A figura 1.10 apresenta este passo. Figura 1.10: Informando o diretório, nome e arquivo principal do projeto Agora, clique em Next para atingir o passo 2, onde podem ser adicionadas arquivos para comporem o projeto a ser criado. Como este projeto será implementado a partir do zero, não há nenhum arquivo para adicionarmos. Podemos avançar para o próximo passo clicando em Next novamente. No passo 3 vamos escolher a família do chip FPGA que será implementado em seu projeto. Uma vez que todas as práticas de laboratório estão sendo desenvolvidas para a placa DE2-70, selecione a família Cyclone II, em Package selecione FBGA, em Pin Count selecione 896 e em Speed grade selecione 6 conforme mostrado na figura Por fim, selecione então em Available Devices o dispositivo EP2C70F896C6 e clique em Next. No passo 4 podem ser adicionadas outras ferramentas EDAs para serem incorporadas ao Quartus II. Este projeto será implementado com as ferramentas padrão do Quartus II e, deste modo, podemos avançar para o próximo passo clicando em Next novamente. A figura 1.12 mostra o resumo de todo o setup realizado até o passo anterior. Após verificar as opções apresentadas, o projeto será iniciado após clicar em Finish. Caso tenha a necessidade de nova opções, isso pode ser realizado retornando-se aos passos anteriores (clicar em Back e repetir os passos apresentados anteriormente), conforme a necessidade. Etapa 2:

14 14 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO Figura 1.11: Seleção da família de FPGA a ser utilizada Figura 1.12: Resumo das configurações do projeto

15 1.2. INTRODUÇÃO A FERRAMENTA EDA QUARTUS II Após ter iniciado o projeto, devemos criar um novo arquivo necessário para elaborar o circuito do nosso projeto. Para criar este arquivo, devemos escolher o menu File, New, selecionar o tipo Block Diagram/Schematic File, conforme podemos verificar na figura 1.13 e clicar em OK. Figura 1.13: Seleção do arquivo de diagrama de bloco Para escolher os símbolos que irão compor o projeto, basta realizar um clique duplo com o mouse na região livre do diagrama de blocos. Alternativamente, pode-se clicar com o botão direito do mouse em uma região livre do diagrama de blocos e escolher Insert e depois Symbol. A Figura 1.14, ilustra a inserção de uma porta AND. Construa seu projeto conforme instruções em aula inserindo os símbolos necessários. Não existe uma ordem pré-definida para inserção dos símbolos que compõem o circuito. Agora devemos salvar o diagrama de bloco e realizar a compilação do circuito. Para salvar, selecione o menu File, Save As... e digite o nome do arquivo, devendo ser o mesmo atribuído a entidade de mais alto nível, o qual foi designado no início da criação do projeto. Além de digitar o nome do arquivo, devemos selecionar a opção Add file to current project para que este arquivo seja adicionado ao nosso projeto, e clique em Salvar. Para compilar o nosso projeto, selecione o menu Processing, Start Compilation. A figura 1.15 apresenta um relatório com os dados gerados após a compilação, onde podemos observar várias informações, tais como, se a operação foi concluída com êxito ou não; qual a FPGA adotada; e quais os recursos de hardware (da FPGA) que foram necessários para a implementação do nosso circuito nesse chip. O próximo passo é associar os pinos de entrada e saída do nosso circuito aos pinos do chip (FPGA) da placa DE2-70. Para associar os pinos, selecione o menu Assignments, Pin Planner, tendo selecionado este menu, a figura 1.16 será apresentada. Para escolher a localização correta dos pinos em Location, devemos consultar o manual da placa DE2-70 e verificar quais são os pinos necessários para o nosso projeto. Após ter associado os pinos do circuito aos pinos do chip, devemos novamente compilar o projeto. Esta é a segunda vez que realizamos a compilação do projeto, nós poderíamos não ter realizado a compilação feita anteriormente, neste caso, para que os pinos de entrada e saída mostrados na figura 1.16 pudessem ser apresentados, seria necessário selecioná-los no diagrama de bloco antes de selecionar o comando

16 16 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO Figura 1.14: Inserção de símbolos no diagrama de bloco Figura 1.15: Resultado da compilação do projeto

17 1.2. INTRODUÇÃO A FERRAMENTA EDA QUARTUS II Figura 1.16: Associação dos pinos do circuito aos pinos do chip

18 18 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO Assignments, Pin Planner. Esta maneira, de realizar duas vezes a compilação, foi adotada para facilitar a criação do nosso primeiro projeto. A próxima etapa é realizar a simulação do circuito através da análise das formas de onda. Devemos selecionar o menu File, New e selecionar Vector Waveform File, conforme figura Clique em OK para concluir a ação. Figura 1.17: Criação do arquivo de formas de ondas Agora, devemos inserir os nodos no arquivo de formas de onda. Clique com o botão direito do mouse na região livre do arquivo recém criado e selecione Insert, Insert Node or Bus como mostra a Figura A ação deste comando criará uma janela, nesta janela há um botão Node Finder, conforme figura 1.19, que deve ser selecionado para auxiliar na localização dos nodos existentes em nosso circuito. Em conseqüência à seleção do botão Node Finder, será criada a janela vista na figura Para localizar os nodos que se encontram na coluna esquerda da figura 1.20 é necessário selecionar o botão List, em seguida pressione o botão para que estes nodos encontrados sejam adicionados na coluna do lado direito da figura Para concluir a inserção, é necessário selecionar o botão OK em duas situação, a primeira é na janela que está atualmente ativa e a segunda é na próxima janela que será exibida. O próximo passo é associar as formas de onda aos nodos de entrada do circuito e analisar o resultado através da forma de onda gerada pelo nodo de saída. Preencha o arquivo de forma de onda conforme as instruções em aula. Tendo associado as formas de onda a entrada do circuito, podemos iniciar a simulação selecionando o menu Processing, Start Simulation e aguardar até que o sistema conclua esta operação. Para verificar o resultado da simulação, selecione o menu Processing, Simulation Report para exibir o arquivo com o relatório da simulação, conforme podemos verificar na figura Caso a janela gerada não esteja na mesma escala de tempo mostrado na figura 1.21, é necessário acessar o menu View, Fit in Window para que ocorra o ajuste de escala. O próximo passo, é fazer o download do circuito no chip. Para realizar esta operação, selecione o menu Tools, Programmer. A ação deste comando gera uma janela onde devemos selecionar o arquivo que contém o código de programação do chip e selecionar o hardware, caso não esteja selecionado, para comunicação com a placa DE2-70. Através da figura 1.22 podemos conferir o hardware e o arquivo selecionados, ou seja, as condições necessárias para fazer o download do arquivo de programação do chip.

19 1.2. INTRODUÇÃO A FERRAMENTA EDA QUARTUS II Figura 1.18: Insert Node or Bus Figura 1.19: Inserção dos nodos ao arquivo de formas de ondas

20 20 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO Figura 1.20: Localização dos nodos Figura 1.21: Relatório das formas de ondas geradas na simulação do circuito

21 1.2. INTRODUÇÃO A FERRAMENTA EDA QUARTUS II A programação é ativada através da seleção do comando Processing, Start. Agora o chip FPGA da placa DE2-70 encontra-se programado. A verificação do funcionamento do hardware programado, pode ser realizada ativando-se as entradas do circuito e verificando-se o resultado na saída. Figura 1.22: Seleção do hardware e do código para download

22 22 CAPÍTULO 1. PRÁTICAS DE LABORATÓRIO

23 Referências Bibliográficas [1] V. D. Bout and D. E., The practical Xilinx designer lab book version 1.5. Prentice Hall,

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

Ambiente de Software EDA (Electronic Design Automation)

Ambiente de Software EDA (Electronic Design Automation) Ambiente de Software EDA (Electronic Design Automation) Este capítulo apresenta uma nova metodologia de projeto de circuitos digitais. Há uma introdução ao software Quartus II com desenvolvimento de alguns

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro Codá

Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro

Leia mais

Tutorial de uso do JbreadBoard

Tutorial de uso do JbreadBoard Tutorial de uso do JbreadBoard by: Jacson RC Silva Antes de mais nada, obtenha e instale o jbreadboard, logo após siga este tutorial. A tela inicial do JbreadBoard é: Figura 1:

Leia mais

Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista

Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista Introdução Neste laboratório, você fará backup de dados. E também realizará uma restauração dos dados. Equipamentos

Leia mais

Localizador de Defeitos

Localizador de Defeitos Manual de Instalação Rev. 4 Índice Índice...2 1 - Software...3 1.1 - Instalação...3 2 - Driver de comunicação...5 2.1 - Windows XP...5 2.2 - Windows Vista...7 2.3 - Windows 7...10 2.4 - Windows 8...13

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Ferramenta 1 -NetBeans 8.0

Ferramenta 1 -NetBeans 8.0 Nome do laboratório: Laboratório de Programação Ferramenta 1 -NetBeans 8.0 Elaborado por: Osmar de Oliveira Braz Junior Descrição NetBeans é um ambiente de desenvolvimento integrado (IDE Integrated Development

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/18 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Projeto e simulação utilizando esquemáticos em FPGA

Leia mais

Criação de componentes no Proteus

Criação de componentes no Proteus Criação de componentes no Proteus O programa Isis permite criar componentes diretamente na tela do editor de esquemas. Neste exemplo, trataremos de criar o DS1023 da Maxim. Esta é a configuração dos pinos

Leia mais

Tutorial SQL Server 2014 Express

Tutorial SQL Server 2014 Express Tutorial SQL Server 2014 Express Tutorial para o download: Passo 1: Faça o download do SQL Server 2014 Express acessando o link : https://www.microsoft.com/pt-br/download/details.aspx?id=42299. Passo 2:

Leia mais

Objetivo: Teste de Ponto e Teste de Busca da característica (zonas).

Objetivo: Teste de Ponto e Teste de Busca da característica (zonas). Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: Siemens Modelo: 7SA Função: 21- Distância (Impedância) Ferramenta Utilizada: CE-6006 Objetivo: Teste de Ponto e Teste de Busca da característica

Leia mais

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 P R O F. S Í L V I O F E R N A N D E S CRONOGRAMA DO CURSO ATIVIDADE

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200 Escola de Engenharia de São Carlos - Engenharia Elétrica Disciplina: SEL 0430 Laboratório de Automação Profs. Dennis Brandão e Adriana 1º Semestre/2016 Roteiro do 3º Experimento: Programação do CLP Siemens

Leia mais

1. Após o boot se completar, será exibida a tela de inicial do Redo exibida abaixo, onde o usuário deverá escolher a opção Start Redo Backup.

1. Após o boot se completar, será exibida a tela de inicial do Redo exibida abaixo, onde o usuário deverá escolher a opção Start Redo Backup. RESTAURAÇÃO DE COMPUTADORES PREGÃO 83/2008 UTILIZANDO REDO BACKUP 1) RESTAURAÇÃO ATRAVÉS DE DISPOSITIVO USB COM IMAGEM DO SISTEMA O processo de restauração de imagem nos multiterminais deve ser feito utilizando-se

Leia mais

Laboratório de Programação II

Laboratório de Programação II Laboratório de Programação II Aula 04.1 Prof. Diemesleno Souza Carvalho diemesleno@gmail.com http://www.diemesleno.com.br Na aula de hoje veremos... 04.1 Exportando projetos; Alterando o workspace; Importando

Leia mais

AULA PRÁTICA 1 SAÍDA BINÁRIA

AULA PRÁTICA 1 SAÍDA BINÁRIA AULA PRÁTICA 1 SAÍDA BINÁRIA 1. INTRODUÇÃO A saída binária é um dispositivo com dois relés na saída (A e B), permitindo o controle de duas cargas independentemente. Os dois canais (A e B) da saída binária

Leia mais

Nota de Aplicação: Utilização do Recipe Manager. Sumário

Nota de Aplicação: Utilização do Recipe Manager. Sumário Nota de Aplicação NAP146 Utilização do Recipe Manager Sumário 1. Descrição... 2 2. Introdução... 2 3. Definição da Arquitetura de Referência... 2 3.1 Material Utilizado no Exemplo... 2 4. Configuração

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Como remover permanentemente Speedtest.gateable.com Em passos simples: -

Como remover permanentemente Speedtest.gateable.com Em passos simples: - Remover Speedtest.gateable.com ameaça: - Speedtest.gateable.com é uma infecção perigosa PC que é criado por ciber criminosos com motivo malicioso. Ele foge no PC secretamente e realizar seus trabalhos

Leia mais

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO I Configuração do Hardware no Kit. 1-Abrir o Quartus II; 2-Fechar a janela "Getting Started with Quartus II, e abrir a janela do programador. Na barra de menus

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Procedimentos. de Acesso ao Sistema. Integrado TOTVS

Procedimentos. de Acesso ao Sistema. Integrado TOTVS Procedimentos de Acesso ao Sistema Integrado TOTVS Versão 4.0 Outubro / 2016 PROCEDIMENTOS DE ACESSO AO SISTEMA INTEGRADO TOTVS 1 Sumário 1. ACESSANDO O SISTEMA... 3 2. PARAMETRIZANDO O CONTEXTO ACADÊMICO...

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

1. Introdução O que é Microsoft PowerPoint Recursos de PowerPoint. Introdução

1. Introdução O que é Microsoft PowerPoint Recursos de PowerPoint. Introdução 1. Introdução 1.1. O que é Microsoft PowerPoint 2007 Microsoft Office PowerPoint 2007 é um software de apresentação gráfica produzido pela Microsoft Corporation para o sistema operacional Microsoft Windows.

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal

Leia mais

Manual do Sistema Assinador Livre

Manual do Sistema Assinador Livre Manual do Usuário Manual do Sistema Assinador Livre ASSINADORLIVRE Template Versão 1.1 SUMÁRIO 1. Introdução... 3 2. Download... 5 3. Instalação... 6 4. Utilização... 9 5. Assinatura de Documento com Certificado

Leia mais

Instalando o Eclipse e o Android

Instalando o Eclipse e o Android Instalando o Eclipse e o Android Para a elaboração desse material, eu fiz o uso do Eclipse Galileo (Eclipse 3.5.1 para Windows) e o SDK do Android Revisão 5 e o plugin do Android para o Eclipse ADT-0.9.6.

Leia mais

Prof. Paulo Borges. ao lado do campo Proprietário, clique no botão Novo e preencha os dados, conforme tela abaixo, em seguida clique em Salvar e OK.

Prof. Paulo Borges. ao lado do campo Proprietário, clique no botão Novo e preencha os dados, conforme tela abaixo, em seguida clique em Salvar e OK. Aula 01 Criando Novo Projeto, Introdução Manual de Dados na Caderneta de Campo, Importação de Arquivos Textos e Arquivos Brutos de Estações Totais, Cálculo de Poligonal Fechada. 1º Passo: Após abrir o

Leia mais

GUIA DO PROFESSOR PARA O LABORATÓRIO VISIR

GUIA DO PROFESSOR PARA O LABORATÓRIO VISIR GUIA DO PROFESSOR PARA O LABORATÓRIO VISIR O laboratório on-line VISIR para experimentos eletroeletrônicos é um laboratório de acionamento e controle remoto para realizar experimentos reais Para introduzir

Leia mais

Crystal Reports - Gerando seus relatórios.

Crystal Reports - Gerando seus relatórios. Crystal Reports - Gerando seus relatórios. O Crystal Reports é o gerador de relatórios do Visual Basic e com ele desenhamos os relatórios de nossos aplicativos. Embora possua um objeto chamado Printer

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

VPN CLIENT IPSEC. Para as versões do Sistema Operacional abaixo, executar a sequencia informada.

VPN CLIENT IPSEC. Para as versões do Sistema Operacional abaixo, executar a sequencia informada. VPN CLIENT IPSEC Para as versões do Sistema Operacional abaixo, executar a sequencia informada. Microsoft Windows 8.1 Microsoft Windows Server 2012 R2 Sequencia: 3-Instalação e Configuração Microsoft Windows

Leia mais

Instalação do Papercut MF

Instalação do Papercut MF Instalação do Papercut MF O software Papercut está disponível sem restrições pelo período de 40 dias. Esse tempo lhe permite avaliar diversos recursos e funções que o software tem a oferecer. Caso adquira

Leia mais

Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP:

Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP: Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP: Ativando C/C++ / Fortran no IDE NetBeans: Se você quiser trabalhar com C, C++ ou Fortran no IDE NetBeans, a instalação

Leia mais

Guia de instalação CF 6031

Guia de instalação CF 6031 Guia de instalação CF 6031 CF 6031 Software de configuração para conexão de dados Windows XP, Windows Vista, Windows Seven, Windows 8 e Windows 10 Este tutorial serve de instrução para configuração do

Leia mais

SOLID EDGE ST6 TUTORIAL 9 GERANDO VISTAS A PARTIR DE UM MODELO 3D. Aqui isso será feito com o corpo da Biela que você desenhou no tutorial 6.

SOLID EDGE ST6 TUTORIAL 9 GERANDO VISTAS A PARTIR DE UM MODELO 3D. Aqui isso será feito com o corpo da Biela que você desenhou no tutorial 6. SOLID EDGE ST6 TUTORIAL 9 GERANDO VISTAS A PARTIR DE UM MODELO 3D Seguindo este tutorial você estará apto a gerar vistas a partir de elementos 3D. O Solid Edge permite que sejam geradas vistas de modelos

Leia mais

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens Tutorial: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal V11 2 Clique

Leia mais

SMA PROCEDIMENTO DE ACESSO AO SISTEMA

SMA PROCEDIMENTO DE ACESSO AO SISTEMA SMA PROCEDIMENTO DE ACESSO AO SISTEMA Índice 1. Pré-requisitos... 2 2. Configurando opções de segurança do Internet Explorer... 3 3. Requisição e Instalação dos certificados de acesso... 5 3.1. Instalação

Leia mais

Card Tree Creator. Um Sistema para a criação de árvores de cartões.

Card Tree Creator. Um Sistema para a criação de árvores de cartões. Card Tree Creator Um Sistema para a criação de árvores de cartões. 1. Introdução Card Tree Creator é um sistema escrito em linguagem JAVA que tem por objetivo fornecer uma ferramenta para a criação de

Leia mais

Lab - Utilitário de Linha de Comando no Windows Vista

Lab - Utilitário de Linha de Comando no Windows Vista 5.0 5.3.7.5 Lab - Utilitário de Linha de Comando no Windows Vista Introdução Imprima e preencha este laboratório. Neste laboratório, você usará o Windows para executar o utilitário de linha de comando

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

TUTORIAL UTILIZAÇÃO XOOPS UEFS. AEI - Gerência de Suporte

TUTORIAL UTILIZAÇÃO XOOPS UEFS. AEI - Gerência de Suporte TUTORIAL UTILIZAÇÃO XOOPS UEFS AEI - Gerência de Suporte Efetuando Login Acesse a URL desejada acrescentando /user.php ao final. Exemplo: degustacao.exemplo.uefs.br/user.php 3. Na área Login do sistema

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial Comércio e Manutenção de Produtos Eletrônicos proxsys@proxsys.com.br Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial PROXSYS Conversor USB/RS485 ou USB/RS232

Leia mais

Manual Telemetria - Odômetro

Manual Telemetria - Odômetro Sumário Apresentação... 2 Home... 2 Definição do Idioma... 3 Telemetria Odômetro... 4 Componentes... 5 Faixas Odômetro... 5 Configura Faixa... 13 Detalhado Período... 22 Consolidado Dia... 25 Consolidado

Leia mais

Lab - Criar uma Partição no Windows XP

Lab - Criar uma Partição no Windows XP 5.0 5.2.4.5 Lab - Criar uma Partição no Windows XP Introdução Imprima e preencha este laboratório. Neste laboratório, você irá criar uma partição, em um disco, formatada em FAT32. Você irá converter a

Leia mais

Instruções para instalação do Palm

Instruções para instalação do Palm Instruções para instalação do Palm Iniciando o Palm 1. Ao ligar o Palm aparecerá a tela do Setup. Clicar no ícone da Casinha. 2. Aparecerá na tela um trevo de quatro folhas. Clicar com a caneta do Palm

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento de Engenharia

Leia mais

Título: Como instalar e configurar o acesso ao sistema em um computador utilizado como estação?

Título: Como instalar e configurar o acesso ao sistema em um computador utilizado como estação? Título: Como instalar e configurar o acesso ao sistema em um computador utilizado como estação? 1 DOWNLOAD DO ARQUIVO DE INSTALAÇÃO: 1.1 - Para realizar o download do arquivo de instalação acesse o link

Leia mais

Introdução à Engenharia ENG1000

Introdução à Engenharia ENG1000 Introdução à Engenharia ENG1000 Aula 04 Introdução ao Visual Studio 2010 Prof. Augusto Baffa 1. Execute o MS-Visual Studio 2010. Experimente o caminho: Start All Programs Microsoft

Leia mais

Lab - Criando uma Partição no Windows 7

Lab - Criando uma Partição no Windows 7 5.0 5.2.4.3Lab - Criando uma Partição no Windows 7 Introdução Imprima e preencha este laboratório. Neste laboratório, você irá criar uma partição, em um disco, formatada em FAT32. Você vai converter a

Leia mais

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2 Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone

Leia mais

Manual de instruções. Requisito de sistema:

Manual de instruções. Requisito de sistema: Manual de instruções Requisito de sistema: Windows XP / Windows Vista / Windows 7 / Windows 8 (OS) 1 Porta USB (1.1 / 2.0) livre 30 MB disponíveis em disco Instalação: Primeiramente, conecte o mouse na

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

DISTRIBUINDO SUA APLICAÇÃO

DISTRIBUINDO SUA APLICAÇÃO DISTRIBUINDO SUA APLICAÇÃO Depois de pronta sua aplicação precisa ser distribuida para todos que querem ou precisam usá-la. Para usar a sua aplicação, o único requisito para o computador do cliente é que

Leia mais

OS - Cadastrar Ordem de Serviço

OS - Cadastrar Ordem de Serviço OS - Cadastrar Ordem de Serviço Objetivo Cadastrar ordem de serviço para clientes sem que seja necessário efetuar uma venda (semelhante ao orçamento, a diferença é que a ordem de serviço nos permite informar

Leia mais

Tutorial: Configuração do CLP Citrino

Tutorial: Configuração do CLP Citrino Tutorial: Configuração do CLP Citrino Abrir o software Citrino Tools Clicar em: Criar projeto, a tela abaixo é a tela de configuração do CLP. Cada aba refere-se a um tipo de configuração. Clicar em: Arquivo

Leia mais

Tutorial para Acesso Portal dos Conselheiros

Tutorial para Acesso Portal dos Conselheiros Tutorial para Acesso Portal dos Conselheiros Acesso ao Portal dos Conselheiros Para obter acesso ao sistema, siga corretamente os passos logo abaixo: Acesse o endereço no navegador, http://sistemas.docasdoceara.com.br/sispcon/.

Leia mais

Configuração em modo Bridge

Configuração em modo Bridge Configuração em modo Bridge 1- Antes de iniciar qualquer procedimento de configuração verifique se os cabos estão conectados conforme o diagrama de instalação abaixo: Se você possui uma tomada de telefone

Leia mais

Lab - Criando uma Partição no Windows Vista

Lab - Criando uma Partição no Windows Vista 5.0 5.2.4.4Lab - Criando uma Partição no Windows Vista Introdução Imprima e preencha este laboratório. Neste laboratório, você irá criar uma partição, em um disco, formatada em FAT32. Você irá converter

Leia mais

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Passo 3: Preparando-se para a criação de seu vídeo de curta duração/apresentação de slides

Passo 3: Preparando-se para a criação de seu vídeo de curta duração/apresentação de slides Bem-vindo ao Picture Package Producer2 Iniciar e sair do Picture Package Producer2 Passo 1: Selecionando imagens Passo 2: Verificando as imagens selecionadas Passo 3: Preparando-se para a criação de seu

Leia mais

S40. Primeiros Passos. DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1

S40. Primeiros Passos. DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1 S40 Primeiros Passos DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1 Tela inicial Iniciar o programa pelo ícone do S40 localizado no desktop. Não utilizar o link do menu Iniciar

Leia mais

10 Hiperlinks e Mala Direta

10 Hiperlinks e Mala Direta 1 Word 2013 10 Hiperlinks e Mala Direta 10.1. Hiperlinks 10.1.1. Criar um Hiperlink 10.1.2. Criar um Hiperlink Para Outro Documento 10.1.3. Criar Ligação Dentro do Documento 10.1.4. Remover Hiperlinks

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias 1 IDES E PROGRAMAÇÃO Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias {claudio,macdias}@icmc.usp.br 2 Agenda Conceitos Instalação e Configuração Compilação e Execução de Código IDEs Exemplo

Leia mais

MANUAL DE INSTALAÇÃO DO GERENCIADOR DO CARTÃO CRIPTOGRÁFICO E TOKEN SAFRAN SAFESIGN

MANUAL DE INSTALAÇÃO DO GERENCIADOR DO CARTÃO CRIPTOGRÁFICO E TOKEN SAFRAN SAFESIGN MANUAL DE INSTALAÇÃO DO GERENCIADOR DO CARTÃO CRIPTOGRÁFICO E TOKEN SAFRAN SAFESIGN VERSÃO 5 Atualizado em 23/05/2016 Página 1 de 16 Verificando versão do Windows (32 ou 64 Bits) MENU INICIAR > CLIQUE

Leia mais

POO Documentation. Release 1.0. Felipe Dau e Francisco Pereira Junior

POO Documentation. Release 1.0. Felipe Dau e Francisco Pereira Junior POO Documentation Release 1.0 Felipe Dau e Francisco Pereira Junior August 13, 2015 Contents 1 Instalação do Java 1 1.1 Download................................................. 1 1.2 Instalação.................................................

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Painel Administrativo Westlock

Painel Administrativo Westlock Painel Administrativo Westlock Acesso ao Painel Administrativo Para acessar o Painel Administrativo da Westlock clique no endereço http://downloadcenter.westlockcontrolsmarcom.com/admin/ e preencha as

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais