Ambiente de Software EDA (Electronic Design Automation)

Tamanho: px
Começar a partir da página:

Download "Ambiente de Software EDA (Electronic Design Automation)"

Transcrição

1 Ambiente de Software EDA (Electronic Design Automation) Este capítulo apresenta uma nova metodologia de projeto de circuitos digitais. Há uma introdução ao software Quartus II com desenvolvimento de alguns projetos utilizando o editor gráfico e a linguagem de descrição de hardware VHDL. 2.1 Introdução O ambiente de projetos Quartus II Web Edition, desenvolvido pela empresa Altera e disponível no site (versão free), é o exemplo de software EDA utilizado neste livro. Trata-se de uma ferramenta computacional de análise e de síntese de projetos que reduz o tempo de desenvolvimento de circuitos digitais. As fases de implementação de um projeto de circuito digital podem ser divididas em entrada de dados, compilação, simulação e programação. Os softwares citados no livro são de inteira responsabilidade do fabricante Altera Corp. O software Quartus II, versão 9.1 sp2, Web Edition, estava disponível na data do lançamento do livro. As versões superiores desse software não disponibilizam o modo de simulação do projeto. Para tal, é necessário um segundo software denominado ModelSim da empresa Altera Corp. A entrada de dados fornece ao programa a especificação do projeto. Ela pode ser realizada das seguintes formas: Ambiente de Software EDA (Electronic Design Automation) 35

2 Editor gráfico: um diagrama lógico, desenvolvido a partir de elementos primitivos, portas lógicas básicas e outros componentes disponíveis em bibliotecas, podem ser inseridos e interligados para criar o projeto. Editor de texto: uma descrição abstrata do circuito lógico, utilizando comandos reservados de uma linguagem estruturada de descrição de hardware, como AHDL, VHDL ou Verilog, mostra o comportamento ou o funcionamento do circuito lógico. Editor de símbolo gráfico: nesse caso, os elementos do diagrama lógico são símbolos gráficos criados pelo usuário ou macroinstruções gráficas existentes nas bibliotecas do software, que implementam alguma função lógica. 2.2 Software Quartus II Este item inicia a fase de familiarização com as ferramentas de projeto EDA. Será criado um projeto completo, que utiliza comandos, funções e métodos, e estudadas edição, síntese e simulação de projetos de circuitos digitais, enfim, como se desenvolve um projeto simples do começo ao fim. Qualquer dúvida quanto aos comandos do software utilizados pode ser solucionada com a leitura do tutorial interativo, na tela inicial do software Quartus II ou pelo acesso ao menu Help, na barra de ferramentas da janela principal. O Help é uma documentação completa que deve ser explorada. Antes de iniciar a instalação do programa, tenha certeza de que o microcomputador padrão IBM PC tenha pelo menos 1 GB de memória RAM, um espaço disponível em hard disk de 2,0 GB e o Sistema Operacional instalado seja Windows 7, Windows Vista (32 bits) ou Windows XP (32 bits). Verifique se a CPU é um Pentium III com 866 MHz ou superior, pois quanto mais rápida a CPU melhor. O software Quartus II Web Edition, versão 9.1, pode ser descarregado do site opção Download Center, arquivo 91sp2_quartus_free. Acompanhe os seguintes passos no processo de instalação do software: 1. Clique no ícone 91_quartus_free. 2. Escolha o local de instalação, por exemplo, C:\altera\91sp2. 3. Selecione o tipo de instalação: completa. 4. O software Quartus II Web Edition, versão 9.1sp2, será instalado no diretório destino C:\altera\91sp2\quartus e no diretório de projeto C:\altera\91sp2\qdesigns. 5. Não é mais necessário obter a licença de uso do software no site do fabricante Editor gráfico No ambiente do editor gráfico é possível criar projetos utilizando elementos primitivos (portas lógicas) e outros componentes (macrofunções) existentes nas bibliotecas do software Quartus II. Para iniciar o programa, há duas alternativas: Clicar na área de trabalho: botão Iniciar > Todos os programas > Altera > Quartus II 9.1 sp2 Web Edition. Clicar na área de trabalho: ícone Quartus II 9.1 sp2 Web Edition. 36 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

3 Figura Janela principal do software Quartus II. Ao entrar no programa pela primeira vez, abre-se a janela principal, conforme apresenta a Figura 2.1. Na caixa de diálogo, selecione a opção Iniciar o software Quartus II. Surge uma nova janela denominada "Getting Started With Quartus II Software", como mostra a Figura 2.2. Essa janela pode ser omitida. A escolha depende dos objetivos e do conhecimento prévio do leitor. Para fechá-la, clique no canto superior direito da tela e para desabilitá-la, nas próximas vezes que o software for iniciado, marque no canto esquerdo inferior da janela. Figura Janela opcional de iniciação do software Quartus II. _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 37

4 A janela de iniciação do software Quartus II, apresentada na Figura 2.2, possui quatro opções: 1. Iniciar um tutorial interativo do Quartus II: ensina a usar os comandos básicos de projeto com o software, áudio em inglês. 2. Abrir um projeto existente: mostra alguns exemplos de projetos desenvolvidos com o software. Indicado para quem já possui algum conhecimento prévio do software. 3. Abrir projetos recentes: também apresenta exemplos simples de projetos desenvolvidos com o software; requer conhecimento prévio do software. 4. Iniciar um novo projeto: deve ser obrigatoriamente abordado, pois sem este tópico o livro perde o sentido. Na parte inferior da tela, é possível acessar diretamente alguns links importantes no site do fabricante do software: literatura, treinamento, demonstração e suporte técnico Iniciar um novo projeto Para iniciar um novo projeto existem duas opções: Clicar na opção Create a New Project, na janela inicial apresentada na Figura 2.2. Selecionar no menu de arquivos (File), barra de ferramentas, a opção New Project Wizard. A primeira vez que você abre um novo projeto, uma tela introdutória é apresentada. A opção New Project Wizard permite criar um projeto e informar dados básicos sobre ele, como: Nome do projeto e diretório de trabalho; Nome da entidade de projeto de mais alto nível; Arquivos de projeto, outras fontes de arquivos e bibliotecas utilizadas no projeto; Dispositivos e famílias usados no projeto; Ferramentas EDA utilizadas. Para avançar, clique no botão Next. Na primeira tela do New Project Wizard digite o nome do diretório de trabalho do seu projeto ou localize-o por meio do botão (Browse), clicando, por exemplo, em C:\altera\91sp2\ quartus\projetos_01. Figura Janela um do New Project Wizard. 38 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

5 Digite o nome do projeto na caixa de diálogo correspondente ao nome, por exemplo, maqusin1, e digite esse mesmo nome como nome da entidade de mais alto nível de projeto, na caixa de diálogo correspondente. A Figura 2.3 mostra a primeira tela de informações do projeto. Clique em Next. A janela dois do New Project Wizard não necessita ser preenchida, pois neste caso, não existe nenhum arquivo de projeto, nenhuma fonte de arquivo ou biblioteca a ser incluída. Clique em Next. A janela três seleciona uma família de dispositivos de fabricação da empresa Altera, que será utilizada no projeto; surgem diversas famílias de FPGAs e CLPDs. Selecione, por exemplo, a família Cyclone II, dispositivo EP2C35F672C6, que corresponde ao FPGA utilizado no Kit de desenvolvimento DE2 da empresa Terasic. Clique em Next. A Figura 2.4 mostra a janela três do New Project Wizard. Figura Janela três do New Project Wizard. Na janela quatro não marque nenhuma opção, pois esse projeto só usa ferramentas do software Quartus II e não outras ferramentas EDA. Clique em Next. A janela cinco é a última do New Project Wizard e apresenta um resumo dos dados informados sobre o projeto: Diretório de projeto: C:\altera\91sp2\quartus\ projetos_01 Nome do projeto: maqusin1 Entidade de projeto de nível mais alto: maqusin1 Número de arquivos acrescentados: 0 Número de bibliotecas de usuário acrescentadas: 0 Dispositivo escolhido: Nome da família: Cyclone II Dispositivo: EP2C35F672C6 Ferramentas EDA: Entrada de projeto/síntese: <Nenhuma> Simulação: <Nenhuma> Análise de tempo: <Nenhuma> _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 39

6 Clique no botão Finish, e a criação do projeto está encerrada. Note que o nome do projeto no topo da janela principal do navegador modifica-se para o nome da entidade de nível mais alto, por exemplo, C:/altera/91sp2/quartus/projetos_01/ maqusin1-maqusin Projeto com lógica combinacional O projeto que será desenvolvido como primeiro exemplo é o maqusin1, apresentado no capítulo 1, item 1.2.1, automação do controle da esteira transportadora de uma máquina de usinagem. Como visto na Tabela 1.1 do capítulo 1, tabela verdade do projeto, pode-se chegar à seguinte expressão booleana: S P QR P QR P QR P QR P QR Para implementar a expressão booleana dada, em lógica programável, no software Quartus II, siga os procedimentos: Na janela principal, selecione no menu de arquivos (File), barra de ferramentas, a opção novo (New). Abre-se uma caixa de diálogo New. Selecione a opção Block diagram/schematic File e clique no botão OK. Surge uma tela denominada Block.bdf, como indica a Figura 2.5, na qual será editado o circuito lógico. Na margem esquerda da área de desenho há uma régua vertical com alguns botões, que disponibilizam as opções de projeto, tais como a biblioteca de componentes, caixa de edição de texto, lupa para zoom etc. A inserção de um componente lógico na janela do editor gráfico é realizada clicando na barra de ferramentas lateral, no botão correspondente ao componente desejado (porta lógica, fio, barramento etc.). Ao clicar no componente lógico, desenho de uma porta lógica, abre-se uma janela denominada símbolo (Symbol), conforme apresentada também na Figura 2.5. Digite o nome do componente lógico no campo nome (Name) e clique no botão OK. Figura Janela do editor gráfico. 40 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

7 Caso não conheça o nome do componente, consulte na caixa bibliotecas (Libraries) todos os grupos de componentes do software Quartus II. Para isso, basta clicar em um dos diretórios da caixa, selecionar o subdiretório correspondente ao grupo do componente lógico e clicar em seu nome. No apêndice A do livro pode-se encontrar alguns grupos e seus respectivos componentes. Na caixa bibliotecas, no diretório Libraries (C:/altera/91sp2/quartus/libraries), clique com o cursor do mouse, selecione o subdiretório correspondente à biblioteca primitiva (primitives). No interior dessa biblioteca selecione o subdiretório correspondente à biblioteca lógica (logic), em que estão disponíveis as portas lógicas básicas do software Quartus II. Selecione o componente and3. Será inserido o nome do componente no campo nome (Name) da janela. Clique no botão OK. O símbolo do componente and3, uma porta lógica AND de três entradas, é mostrado na janela maior do editor gráfico. Quando o componente é selecionado o cursor do mouse deve ser utilizado para posicioná-lo na janela. Para fixá-lo, arraste-o até o ponto desejado e clique com o botão esquerdo do mouse. O cursor somente será liberado do componente quando o botão de seleção (símbolo de uma seta), na barra de ferramentas lateral, for pressionado. Como serão utilizadas cinco portas and3, clique com o botão esquerdo do mouse em cinco posições diferentes na tela do editor gráfico e depois libere o cursor. Repita os passos anteriores para inserir os componentes OR5, um bloco OR de cinco entradas e três portas NOT. Para girar a porta NOT de forma que fique com a sua saída para baixo, selecione a porta, clique com o botão direito do mouse e escolha a opção Rotate by Degress, opção 270. A Figura 2.6 apresenta a janela do editor gráfico com a inserção dos componentes. Figura Janela do editor gráfico com os componentes inseridos. No projeto é necessário estabelecer as entradas e saídas do circuito lógico, que também possuem elementos específicos na biblioteca. Desta forma, insira os terminais de entrada e saída dos componentes, repetindo os mesmos procedimentos usados no item anterior para inserção dos componentes lógicos. Na barra de ferramentas lateral selecione _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 41

8 o botão correspondente ao componente lógico. Na janela símbolo (Symbol) digite input para uma entrada e output para uma saída no campo nome (Name) e clique no botão OK. Caso deseje copiar um terminal de entrada ou saída, após a seleção e inserção na janela, clique com o botão esquerdo do mouse tantas vezes quanto for o número de cópias. Para liberar o cursor do componente inserido, clique no botão de seleção na barra de ferramentas. A Figura 2.7 apresenta a janela do editor gráfico com a inserção dos terminais de entrada e saída. Figura Janela do editor gráfico com os terminais de E/S. Os terminais de entrada (input) e saída (output) inseridos no item anterior devem ser identificados para posterior utilização, os quais devem ter nomes. Com o cursor selecione o terminal a ser identificado, com o botão esquerdo do mouse dê um duplo clique no terminal (Pin name). Surge uma janela, chamada Propriedades do terminal (Pin Properties), conforme mostrada na Figura 2.8. Figura Janela de propriedades dos terminais de entrada e saída. 42 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

9 No campo nome do terminal (Pin name) digite o nome desejado, por exemplo, Sensor_P, Sensor_Q e Sensor_R para as entradas, e Sinal_S para as saídas. Clique em OK. Repita o processo passo a passo para cada terminal de entrada e para cada terminal de saída. A Figura 2.9 exibe uma janela do editor gráfico com os terminais de entrada e saída respectivamente identificados. Após a seleção dos componentes e a atribuição dos nomes dos terminais de entrada e saída, vamos interligá-los. Na barra de ferramentas selecione o botão fio ortogonal, caso deseje interligar os componentes por meio de fios. Ou então, selecione o botão barramento ortogonal, caso deseje interligar os componentes por meio de barramentos. Lembre-se de que um barramento é um conjunto de fios em paralelo. Por exemplo, um barramento de oito bits (1 byte) corresponde a oito fios em paralelo, identificados pelo nome do terminal seguido da notação [0..7]. Figura Janela do editor gráfico com os terminais identificados. Existem duas possibilidades de interligação dos componentes no editor gráfico: Conexão dos componentes por fios ou barramentos: reorganize todos os componentes na área de desenho, de modo a ficarem dispostos como na Figura Na barra de ferramentas selecione com o cursor do mouse o botão fio ortogonal. O cursor muda para uma cruz. Clique com o botão esquerdo do mouse no componente origem e com o botão esquerdo pressionado arraste o fio até o outro componente de destino. Pode-se fazer o caminho indo diretamente de um terminal a outro, ou fazê-lo por segmentos de reta. Caso deseje apagar a ligação ou o componente, basta selecionar o objeto, que aparece com uma cor azul, e pressionar a tecla delete. A Figura 2.10 mostra a tela do editor gráfico com os componentes interligados por meio de fios. _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 43

10 Figura Conexão dos componentes por meio de fios. Conexão dos componentes por nome: quando há muitos componentes, ligações e terminais de entrada e saída, o diagrama lógico fica difícil de visualizar. Para torná-lo mais fácil de depuração, deve-se dar nomes aos fios e os referenciar em outros pontos do circuito com o mesmo nome. Para isso, clique na barra de ferramentas no botão fio ortogonal, ligue um pedaço de fio, por exemplo, no terminal de entrada Sinal_P (origem) do circuito lógico da Figura 2.9. No final do pedaço de fio, dê um clique com o botão direito do mouse. Surge um menu, selecione a opção propriedades (Properties). Na janela chamada propriedade do nó, digite o nome do fio, por exemplo, identifique-o como A. Clique em OK. Na entrada do componente destino, porta NOT, ligue outro pedaço de fio e repita os passos anteriores, digitando o nome do fio também como A. O terminal de entrada Sinal_P e a entrada da porta NOT estarão conectados logicamente pelo fio A, sem estarem fisicamente ligados. Desta forma, o programa sabe que esses dois pontos estão conectados. Repita os passos anteriores e conecte todos os componentes, conforme indica a Figura P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

11 Figura Conexão dos componentes por nome. O projeto deve ser salvo e compilado para verificação da existência de erros básicos de sintaxe e semântica. Para salvar o projeto clique no botão correspondente, na barra de ferramentas. A compilação é dividida em diversas fases, que compreendem a verificação de erros básicos como conexões desnecessárias, pinos e fios sem conexão etc.; a criação de diversos arquivos necessários para a programação do FPGA e arquivos de relatórios, que apresentam detalhes do processo de síntese como total de elementos lógicos, total de pinos, total de bits de memória etc. Para compilar o projeto, acesse o menu Processing, selecione a opção Star Compilation, ou clique no botão correspondente localizado na barra de ferramentas. Será aberta uma janela, conforme a Figura No lado esquerdo da janela de compilação são apresentados os progressos (em percentual) do andamento da compilação e da análise e síntese do projeto. As mensagens de cuidados (cor azul na tela) e mensagens de erros (cor vermelha na tela) aparecem na parte inferior da janela. Caso não haja problemas na compilação, surge uma caixa de diálogo com a seguinte mensagem "Full Compilation was successfull", então clique em OK. Se o compilador acusar algum problema, escolha as mensagens de erros, na parte inferior da janela, para obter uma explicação sobre eles. _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 45

12 Figura Janela de compilação do projeto. Na janela de compilação, seção sumária (Summary), são apresentados o nome do dispositivo utilizado no projeto, o total de elementos lógicos, o total de pinos utilizados e o total de bits de memória. Na seção relatórios (Reports) de compilação estão disponíveis diversos relatórios de análise de tempo, síntese etc., que podem ser impressos. Depois da compilação, o projeto deve ser simulado. Existem dois tipos de simulação, sendo funcional e temporal. A simulação funcional verifica os resultados de saída do circuito de acordo com as suas entradas. Para tanto, deve-se criar um arquivo de estímulo para verificar a funcionalidade do projeto. A criação de um arquivo de estímulo deve seguir algumas etapas. Inicialmente acesse o menu Assignments e selecione a opção Settings. Surge uma janela, conforme mostra a Figura Na seção Category escolha a opção Simulator e surge a janela do simulador. Na seção modo de simulação (Simulation Mode) selecione funcional (Functional). Clique em OK. Figura Janela do simulador no modo editor gráfico. 46 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

13 Uma vez que o modo de simulação funcional foi ativado, para criar o arquivo de estímulos acesse o menu File, na barra de ferramentas da janela principal e selecione New. Na caixa de diálogo escolha Vector waveform file. Clique em OK. Surge a janela do Editor de Forma de Ondas, arquivo com extensão.vwf, na qual será realizada a simulação funcional do projeto. Essa janela é dividida em quatro partes: (i) régua de ferramentas para as configurações da simulação; (ii) régua com bases de tempo; (iii) coluna para os nomes dos pontos de teste com a base de tempo; (iv) área das cartas de tempo (timing chart) do projeto. Para inserir as entradas e saídas (nodos) do projeto, na janela do Editor de Forma de Ondas, acesse o menu Edit e selecione a opção Insert Node or Bus. Na caixa de diálogo clique no botão Node Finder. Surge a janela Node Finder, então selecione em Filter, a opção Pins: all. Pressionando o botão List, no quadro Nodes Found aparecem todas as entradas e saídas do projeto, identificadas com seus respectivos nomes, conforme a Figura Selecione todas as entradas e saídas (nodos) e clique na seta à direita. Desta forma as entradas e saídas aparecem no quadro Selected Nodes. Pressione OK, a janela Node Finder se fecha. Pressione novamente OK. Na janela Editor de Formas de Ondas surgem as entradas e saídas selecionadas, que serão simuladas. Agora vamos atribuir formas de ondas às entradas para verificar a saída. Selecione uma das entradas, clicando no nome da entrada, a linha toda será selecionada. Clique duas vezes na primeira coluna (nodes). Surge a janela Node Properties, selecione em Radix a opção binário. Clique em OK. Figura Janela de seleção das entradas e saídas do projeto. Na janela do Editor de Forma de Ondas, aparece uma barra vertical de ferramentas, com os sinais de estímulos disponíveis. Selecione a entrada Sensor_P, clique no botão, opção Count value, correspondente a um gerador de onda quadrado. A janela Count Value se abre, mostrando várias informações sobre o sinal que será gerado: intervalo, período etc. Selecione nessa janela a opção Timing, o período (Count Every), não deve ser maior que o intervalo. O fator de multiplicação (Multiply By) aumenta o período da onda quadrada a ser gerada. Para este caso, mantenha os valores default. No menu Edit escolha o tempo final de simulação (End Time) para 1.0 μs (microssegundos), para poder analisar melhor o comportamento do projeto e a largura de grade de tempo de simulação (gride size) para 40.0 ns. Para visualizar melhor o sinal de estímulo da entrada Sensor_P, selecione na barra de ferramentas o botão Zoom e clique na janela do Editor de Forma de Ondas (botão esquerdo do mouse aumenta a visualização e o botão direito diminui). _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 47

14 Para o sinal de entrada Sensor_Q repita os passos anteriores, selecione Radix binário (binary), opção Timing, fator de multiplicação 2 (Multiply by). Repita para o sinal de entrada Sensor_R exatamente os mesmos passos anteriores, opção Timing, fator de multiplicação 3. A Figura 2.15 apresenta os sinais de estímulos de entrada na janela do Editor de Formas de Ondas. Figura Sinais de estímulos de entrada do projeto. Antes da simulação das entradas e saídas do arquivo waveform.vwf, é necessário criar o arquivo de sinais de estímulos. Selecione na barra de ferramentas o menu Processing, escolha a opção Generate Functional Simulation Netlist. Salve as alterações do arquivo waveform1.vwf. Clique em OK. Salve o arquivo waveform1.vwf como maqusin1.clique em OK. Caso não haja nenhum erro com o arquivo de estímulos criado, surge uma janela com a mensagem "Functional Simulate Netlist was successful". Clique em OK. Para compilar e simular o arquivo maqusin1.vwf, no menu Processing, selecione a opção Start Simulation ou clique no botão correspondente. Se a compilação ocorrer sem nenhum erro, a mensagem "Simulator was successful" surge na janela do Editor de Formas de Ondas. Clique em OK. O resultado obtido da simulação, saída Sinal_S, em função das entradas Sensor_Q, Sensor_R e Sensor_P é mostrado na Figura Para criar um símbolo gráfico, arquivo maqusin1.bsf, clique no canto superior esquerdo em maqusin1.bdf e volte para a tela do editor gráfico. Clique no menu File, escolha a opção Create/Update. Na janela aberta, selecione a opção Create Symbol Files for Current File; aparece outra janela com a mensagem "Created Block Symbol File maqusin1". Clique em OK. 48 P _ r _ o _ je _ to _ s _ d _ e C _ ir _ c _ u _ ito _ s D _ ig _ it _ a _ is _ c _ o _ m F _ P _ G _ A _

15 Figura Resultado da simulação. Para inserir o símbolo gráfico criado, arquivo maqusin1.bsf, em um novo projeto, basta proceder como visto anteriormente neste capítulo, ou seja, como se fosse inserir um componente lógico qualquer. O símbolo gráfico criado maqusin1 agora faz parte da biblioteca (library) do software Quartus II. A Figura 2.17 apresenta o símbolo gráfico criado. Figura Símbolo gráfico do projeto maqusin1. A configuração dos pinos do dispositivo FPGA deve ser realizada para definir o posicionamento dos pinos, conforme a necessidade do usuário. Como nesta etapa não houve essa necessidade, a compilação selecionou o posicionamento dos pinos aleatoriamente. A configuração das posições dos pinos pode ser obtida no menu Assignments, opção Assignments Editor; no campo Category, selecione Pins. Na coluna To, no campo New, com um duplo clique são apresentadas todas as entradas e saídas definidas pelo projeto; na coluna Locations, campo New, com um duplo clique são exibidas todas as posições dos pinos do FPGA configurado para o projeto. Selecione a entrada ou saída do projeto na coluna To e posicione o pino do FPGA correspondente na coluna Locations, ou digite o nome do pino desejado (por exemplo, entrada Sensor_P, digite PIN_P25). As entradas ou saídas posicionadas são indicadas por uma grafia diferente. A Figura 2.18 mostra a configuração dos pinos do dispositivo FPGA. A relação dos pinos do FPGA, correspondentes às chaves e LEDs do Kit DE2, é apresentada no apêndice B deste livro. Figura Janela de configuração dos pinos do FPGA. _ A _ mb _ ie _ n _ te d _ e _ S _ o _ ft _ w _ a _ r _ e _ E _ D _ A (E _ l _ e _ ct _ ro _ n _ i _ c _ D _ e _ s _ ig _ n A _ u _ to _ m _ a _ t _ io _ n _ ) 49

16 Salve a nova configuração dos pinos do FPGA e compile o projeto novamente. Na tela do editor gráfico do arquivo maqusin1.bdf, selecione View, Show Locations Assignments, e o posicionamento dos pinos é indicado, conforme a Figura Figura Tela do editor gráfico com indicação dos pinos do FPGA. Para descarregar o projeto no kit do FPGA e testar o circuito, acompanhe os seguintes procedimentos: 1. Coloque a chave RUN/PROG do kit de desenvolvimento DE 2 no modo RUN. No software Quartus II, menu Tools, selecione a opção Programmer. Surge uma tela que vai gerenciar a transferência de dados do microcomputador PC para o FPGA do kit de desenvolvimento. Nessa tela é necessário especificar o hardware de comunicação que será utilizado e o modo da transferência de dados. Selecione o modo JTAG, na caixa Mode. Se a opção USB - Blaster não estiver selecionada (default), pressione o botão Hardware Set-Up e selecione USB-Blaster na caixa de diálogo. 2. O arquivo combinacional.sof é exibido na janela de transferência. Se ele não for exibido, clique em Add File e selecione-o para incluir na janela de gerenciamento da transferência de dados. O arquivo combinacional.sof é binário, produzido pelo Quartus II, que contém os dados necessários para configurar o dispositivo FPGA. Note também que o dispositivo selecionado é o EP2C35F672, FPGA utilizado no kit de desenvolvimento DE2. Marque a opção Program/Configure e pressione START. O campo Progress atinge 100% e um LED no kit de desenvolvimento DE2 acende, quando a configuração for descarregada com sucesso. 3. Após carregar o programa no kit DE 2, teste a tabela verdade do circuito. 50 _ P _ ro _ j _ et _ o _ s _ d _ e _ Cir _ cu _ i _ to _ s _ D _ i _ g _ it _ a is c _ o _ m F _ P _ G _ A _

17 _ Í _ nd _ i _ ce R _ emis _ si _ vo 51

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento de Engenharia

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

DEPARTAMENTO DE ENGENHARIA ELÉTRICA CIRCUITOS DIGITAIS I Experimento: Simulação de Circuitos no Max+Plus II

DEPARTAMENTO DE ENGENHARIA ELÉTRICA CIRCUITOS DIGITAIS I Experimento: Simulação de Circuitos no Max+Plus II 1 Introdução DEPARTAMENTO DE ENGENHARIA ELÉTRICA CIRCUITOS DIGITAIS I Experimento: Simulação de Circuitos no Max+Plus II O ambiente de desenvolvimento de projetos de circuitos digitais Max + Plus II é

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro Codá

Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Ferramenta 1 -NetBeans 8.0

Ferramenta 1 -NetBeans 8.0 Nome do laboratório: Laboratório de Programação Ferramenta 1 -NetBeans 8.0 Elaborado por: Osmar de Oliveira Braz Junior Descrição NetBeans é um ambiente de desenvolvimento integrado (IDE Integrated Development

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

IFSP Campus Cubatão. Laboratório de Eletrônica Digital LELD1 Notas de aula sobre CircuitMaker. Conceitos básicos.

IFSP Campus Cubatão. Laboratório de Eletrônica Digital LELD1 Notas de aula sobre CircuitMaker. Conceitos básicos. Conceitos básicos. O CircuitMaker (CM) é um simulador de circuitos analógicos e digitais. Com ele, é possível elaborar esquemas de circuitos eletrônicos e simular o funcionamento destes mesmos circuitos.

Leia mais

S40. Primeiros Passos. DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1

S40. Primeiros Passos. DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1 S40 Primeiros Passos DAELT - Profa. Mariana Antonia Aguiar Furucho e Prof. José da Silva Maia 1 Tela inicial Iniciar o programa pelo ícone do S40 localizado no desktop. Não utilizar o link do menu Iniciar

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

Manual de instruções. Requisito de sistema:

Manual de instruções. Requisito de sistema: Manual de instruções Requisito de sistema: Windows XP / Windows Vista / Windows 7 / Windows 8 (OS) 1 Porta USB (1.1 / 2.0) livre 30 MB disponíveis em disco Instalação: Primeiramente, conecte o mouse na

Leia mais

BAUR Software para a gestão de dados de medição ITS Lite

BAUR Software para a gestão de dados de medição ITS Lite Manual de operação BAUR Software para a gestão de dados de medição ITS Lite (supervisor) Para equipamento para testes de óleo da BAUR B A U R G m b H R a i f f e i s e n s t r. 8 6 8 3 2 S u l z, A u s

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

MANUAL DO USUÁRIO. Token SafeNet Authentication. Cliente 8.3 (x32 e x64)

MANUAL DO USUÁRIO. Token SafeNet Authentication. Cliente 8.3 (x32 e x64) MANUAL DO USUÁRIO Token SafeNet Authentication Cliente 8.3 (x32 e x64) Índice O que é Token? 03 Instalação do Token 05 Verificação do Certificado 08 Troca de Senhas 12 Canais de comunicação DOCCLOUD Telefone:

Leia mais

Tutorial: Configuração do CLP Citrino

Tutorial: Configuração do CLP Citrino Tutorial: Configuração do CLP Citrino Abrir o software Citrino Tools Clicar em: Criar projeto, a tela abaixo é a tela de configuração do CLP. Cada aba refere-se a um tipo de configuração. Clicar em: Arquivo

Leia mais

MANUAL DE SISTEMA SPEED CASH. Manual de Download e Instalação do Aplicativo Speed Cash. Technology Brazil. Data de Elaboração: maio/2010

MANUAL DE SISTEMA SPEED CASH. Manual de Download e Instalação do Aplicativo Speed Cash. Technology Brazil. Data de Elaboração: maio/2010 MANUAL DE SISTEMA SPEED CASH Technology Brazil Data de Elaboração: maio/2010 Versão do Documento: 1.0 1 ÍNDICE 1. OBJETIVO DO SISTEMA...3 2. OBJETIVO DO MANUAL...3 3. INSTRUÇÕES PARA UTILIZAÇÃO DO SPEED

Leia mais

Como usar o P-touch Transfer Manager

Como usar o P-touch Transfer Manager Como usar o P-touch Transfer Manager Versão 0 BRA-POR Introdução Aviso importante O conteúdo deste documento e as especificações deste produto estão sujeitos a alterações sem aviso prévio. A Brother se

Leia mais

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO I Configuração do Hardware no Kit. 1-Abrir o Quartus II; 2-Fechar a janela "Getting Started with Quartus II, e abrir a janela do programador. Na barra de menus

Leia mais

Modelo SL355 Dosímetro de Ruído/Registrador de Dados

Modelo SL355 Dosímetro de Ruído/Registrador de Dados Modelo SL355 Dosímetro de Ruído/Registrador de Dados Guia de Ajuda do Software Versão 1.2 3/2012 Introdução ao Software O software do Dosímetro de Ruído SL355 permite configurar e recuperar os dados gravados

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

ESPECTROFOTÔMETRO DIGITAL - 721G MANUAL DE INSTRUÇÕES SOFTWARE

ESPECTROFOTÔMETRO DIGITAL - 721G MANUAL DE INSTRUÇÕES SOFTWARE ESPECTROFOTÔMETRO DIGITAL - 721G MANUAL DE INSTRUÇÕES SOFTWARE Instruções de operação para UVWin7 Seção 1: Instalação Televendas: 1. Requerimentos do Sistema Sistema operacional: Windows XP Processador:

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira e Daniela Claro Requisitos do ambiente Seguem abaixo os requisitos do ambiente.

Leia mais

Manual do Receptor Infravermelho Studuino

Manual do Receptor Infravermelho Studuino Manual do Receptor Infravermelho Studuino Este manual explica o ambiente de programação Studuino e como utiliza-lo. À medida em que o Ambiente de Programação Studuino é desenvolvido, este manual pode ser

Leia mais

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200 Escola de Engenharia de São Carlos - Engenharia Elétrica Disciplina: SEL 0430 Laboratório de Automação Profs. Dennis Brandão e Adriana 1º Semestre/2016 Roteiro do 3º Experimento: Programação do CLP Siemens

Leia mais

Tutorial 133 DUO Criação de telas e utilização do teclado

Tutorial 133 DUO Criação de telas e utilização do teclado Tutorial 133 DUO Criação de telas e utilização do teclado Este documento é propriedade da ALTUS Sistemas de Informática S.A., não podendo ser reproduzido sem seu prévio consentimento. Altus Sistemas de

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

Avisos legais KYOCERA Document Solutions Inc.

Avisos legais KYOCERA Document Solutions Inc. Avisos legais É proibida a reprodução total ou parcial deste guia sem a devida autorização. As informações deste guia estão sujeitas a modificações sem aviso prévio. Não nos responsabilizamos por quaisquer

Leia mais

Manual de Instalação da Leitora para cartão e-cpf e Instrução para assinatura digital (SGP-e)

Manual de Instalação da Leitora para cartão e-cpf e Instrução para assinatura digital (SGP-e) SECRETARIA DE ESTADO DA ADMINISTRAÇÃO - SEA Sistema de Gestão de Protocolo Eletrônico Manual de Instalação da Leitora para cartão e-cpf e Instrução para assinatura digital (SGP-e) SUMÁRIO 1- Qual o objetivo

Leia mais

Tutorial TecnoMETAL. Lição 1. Modelo 3D com TecnoMETAL

Tutorial TecnoMETAL. Lição 1. Modelo 3D com TecnoMETAL Tutorial TecnoMETAL Lição 1 Modelo 3D com TecnoMETAL Steel&Graphics Brasil Rua Irineu Ferlin 926. Centro. Marau-RS Tel. 55 (54) 3342-4850 Email: brasil@steelgraphics.com.br Primeiro passo para criar um

Leia mais

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra 1 Aula pra tica 1 Projeto e Simulaça o no Agilent Advanced Design System PSI3483 Ondas Eletromagnéticas em meios guiados Prof.ª Fatima Salete Correra Atividades da aula Criando um novo espaço de trabalho

Leia mais

POO Documentation. Release 1.0. Felipe Dau e Francisco Pereira Junior

POO Documentation. Release 1.0. Felipe Dau e Francisco Pereira Junior POO Documentation Release 1.0 Felipe Dau e Francisco Pereira Junior August 13, 2015 Contents 1 Instalação do Java 1 1.1 Download................................................. 1 1.2 Instalação.................................................

Leia mais

Inserindo Imagem. Inserindo uma imagem a partir da Galeria

Inserindo Imagem. Inserindo uma imagem a partir da Galeria Inserindo Imagem No writer, para inserir uma imagem é muito simples. Para isso, basta clicar no menu Inserir Figura (De um arquivo, Digitalizar, Galeria do Fontwork). É possível modificar os atributos

Leia mais

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial Comércio e Manutenção de Produtos Eletrônicos proxsys@proxsys.com.br Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial PROXSYS Conversor USB/RS485 ou USB/RS232

Leia mais

Actiwatch. Guia do clínico

Actiwatch. Guia do clínico Actiwatch Guia do clínico 2013 Koninklijke Philips Electronics N.V. e suas afiliadas. Todos os direitos reservados. Visão geral do Actiwatch Actiwatch foi desenvolvido para documentar os movimentos físicos

Leia mais

Capítulo 2 Conhecendo o SIMULINK

Capítulo 2 Conhecendo o SIMULINK Capítulo 2 Conhecendo o SIMULINK 2.1 - Acessando o SIMULINK Para acessar o SIMULINK deve-se primeiro abrir o MATLAB, pois apesar de ser uma aplicação específica, este não trabalha independente e utiliza

Leia mais

Portal Educacional Professor On line

Portal Educacional Professor On line 1. ACESSO AO SISTEMA O acesso ao sistema pode ser realizado pelos seguintes sites: http://www.iplc.g12.br/ http://www.franciscanasalcantarinas.org.br/ INFORME NOME DO USUÁRIO. INFORME A SUA SENHA DE ACESSO.

Leia mais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais 1 Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Leia mais

DISTRIBUINDO SUA APLICAÇÃO

DISTRIBUINDO SUA APLICAÇÃO DISTRIBUINDO SUA APLICAÇÃO Depois de pronta sua aplicação precisa ser distribuida para todos que querem ou precisam usá-la. Para usar a sua aplicação, o único requisito para o computador do cliente é que

Leia mais

BAIXA E INSTALAÇÃO DO CERTIFICADO DIGITAL. A3 em token

BAIXA E INSTALAÇÃO DO CERTIFICADO DIGITAL. A3 em token BAIXA E INSTALAÇÃO DO CERTIFICADO DIGITAL A3 em token Sumário Orientações Iniciais... 3 1. Instalação do driver do Token... 4 2. Mozilla Firefox... 9 3. Acessando o sistema... 12 4. Baixando e Instalando

Leia mais

Uso do Easy Interactive Tools

Uso do Easy Interactive Tools Uso do Easy Interactive Tools Inicialização do Easy Interactive Tools Para iniciar o Easy Interactive Tools no seu computador, siga um destes passos: Windows: Clique duas vezes no ícone do Easy Interactive

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira, Fabrício Alves e Daniela Claro Requisitos do ambiente Seguem abaixo os

Leia mais

BEKS. Modelos: BEKY e BEKS. Manual de Referência Rápida

BEKS. Modelos: BEKY e BEKS. Manual de Referência Rápida BEKS Modelos: BEKY e BEKS Manual de Referência Rápida Junho, 2017 Ícone Nome Descrição USB Imp./Exp. Entrada e Saída de bordados a partir de uma memória USB Pen Drive. Memória Acesso às 100 posições de

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

Tutorial 132 CP DUO Configuração MODBUS Escravo

Tutorial 132 CP DUO Configuração MODBUS Escravo Tutorial 132 CP DUO Configuração MODBUS Escravo Este documento é propriedade da ALTUS Sistemas de Informática S.A., não podendo ser reproduzido sem seu prévio consentimento. Altus Sistemas de Informática

Leia mais

Sophos SafeGuard Enterprise 8.0.1

Sophos SafeGuard Enterprise 8.0.1 Sophos SafeGuard Enterprise 8.0.1 Guia: Manual de instalação do Sophos SafeGuard Enterprise 8.0.1 Data do Documento: Novembro de 2016 Conteúdo 1. Sobre este manual... 3 2. Requisitos de Instalação... 4

Leia mais

Criação de componentes no Proteus

Criação de componentes no Proteus Criação de componentes no Proteus O programa Isis permite criar componentes diretamente na tela do editor de esquemas. Neste exemplo, trataremos de criar o DS1023 da Maxim. Esta é a configuração dos pinos

Leia mais

TUTORIAL UTILIZAÇÃO XOOPS UEFS. AEI - Gerência de Suporte

TUTORIAL UTILIZAÇÃO XOOPS UEFS. AEI - Gerência de Suporte TUTORIAL UTILIZAÇÃO XOOPS UEFS AEI - Gerência de Suporte Efetuando Login Acesse a URL desejada acrescentando /user.php ao final. Exemplo: degustacao.exemplo.uefs.br/user.php 3. Na área Login do sistema

Leia mais

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens

Tutorial: Programação do CLP Siemens S Ambiente do software TIA Portal V11 da Siemens Tutorial: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal V11 2 Clique

Leia mais

Questionário de revisão para AV1 de Informática Aplicada Valor 1,0 ponto - Deverá ser entregue no dia da prova.

Questionário de revisão para AV1 de Informática Aplicada Valor 1,0 ponto - Deverá ser entregue no dia da prova. Questionário de revisão para AV1 de Informática Aplicada Valor 1,0 ponto - Deverá ser entregue no dia da prova. 1) Observe os seguintes procedimentos para copiar um arquivo de uma pasta para outra pasta

Leia mais

Sessão Prática: Aquisição de dados e instrumentação virtual com mydaq

Sessão Prática: Aquisição de dados e instrumentação virtual com mydaq Sessão Prática: Aquisição de dados e instrumentação virtual com mydaq Exercício 1 - Configurando o mydaq no MAX Descrição: Antes de começar a usar o NI mydaq juntamente com o LabVIEW, nós precisamos verificar

Leia mais

Guia de Instalação Rápida TE100-MP1UN

Guia de Instalação Rápida TE100-MP1UN Guia de Instalação Rápida TE100-MP1UN V1 Índice Português 1 1. Antes de Iniciar 1 2. Como Instalar 2 3. Imprimindo 7 4. Enviando Fax 8 5. Scanner 9 Troubleshooting 10 Version 05.15.2010 1. Antes de Iniciar

Leia mais

MANUAL DE UTILIZAÇÃO DO SOFTWARE DE IMPRESSÃO DE ETIQUETAS MOORE.

MANUAL DE UTILIZAÇÃO DO SOFTWARE DE IMPRESSÃO DE ETIQUETAS MOORE. MANUAL DE UTILIZAÇÃO DO SOFTWARE DE IMPRESSÃO DE ETIQUETAS MOORE. Uma marca de sucesso da 1 CONTEÚDO 3 3 3 4 4 5 5 6 6 6 6 7 7 7 7 8 9 9 10 10 10 11 11 11 11 12 12 13 SOFTWARE DE IMPRESSÃO DE ETIQUETAS

Leia mais

Prof: Heni Mirna Cruz Santos

Prof: Heni Mirna Cruz Santos Prof: Heni Mirna Cruz Santos Email: henimirna@hotmail.com New Abre um novo arquivo. Open Abre um arquivo salvo. Save Salva o arquivo. Save As Salvar como. Cloud Options: Salva ou atualiza uma cópia do

Leia mais

Painel Administrativo Westlock

Painel Administrativo Westlock Painel Administrativo Westlock Acesso ao Painel Administrativo Para acessar o Painel Administrativo da Westlock clique no endereço http://downloadcenter.westlockcontrolsmarcom.com/admin/ e preencha as

Leia mais

Manual de instalação Condomínio21 Operacional Standard

Manual de instalação Condomínio21 Operacional Standard Manual de instalação Condomínio21 Operacional Standard Sumário 1. Sobre este documento... 3 2. Suporte técnico... 3 3. Requisitos de hardware... 4 3.1. Estação... 4 3.2. Servidor... 4 4. Instalação no

Leia mais

Baixando o Arquivo de Instalação do Bentley topograph

Baixando o Arquivo de Instalação do Bentley topograph Todos os direitos reservados Bentley Systems - 2016 Introdução Após efetuar a compra do Bentley topograph, você receberá um email com um arquivo PDF anexado. Guarde esse arquivo, pois ele contém as informações

Leia mais

Procedimentos para Instalação do Sisloc (Estação de Trabalho) versão

Procedimentos para Instalação do Sisloc (Estação de Trabalho) versão Procedimentos para Instalação do Sisloc (Estação de Trabalho) versão 2017.10 Sumário: 1. Informações Gerais... 3 2. Etapa 1: Instalação do Sisloc... 4 Passo a passo...4 3. Etapa 2: Configurando acesso

Leia mais

Procedimentos Lumisoftware Manual de Instalação e Funcionalidades do Sistema Sac Mobile

Procedimentos Lumisoftware Manual de Instalação e Funcionalidades do Sistema Sac Mobile Manual de Instalação e Funcionalidades do Sistema Sac Mobile Manual de Instalação e Funcionalidades do Sac Mobile LumiSoft@copyright2011 Página 1 Definição: Este documento tem finalidade ensinar o passo

Leia mais

Guia de Instalação do Lotus Notes 7

Guia de Instalação do Lotus Notes 7 Guia de Instalação do Lotus Notes 7 Itens necessários antes da instalação: - Nome do usuário, senha e informações sobre o servidor. - Arquivo do ID do usuário - Instalador do Lotus Notes - Privilégio de

Leia mais

INSTALAÇÃO DO CLIENTE LOTUS NOTES ROTEIRO DE INSTALAÇÃO E CONFIGURAÇÃO

INSTALAÇÃO DO CLIENTE LOTUS NOTES ROTEIRO DE INSTALAÇÃO E CONFIGURAÇÃO INSTALAÇÃO DO CLIENTE LOTUS NOTES 9.0.1 ROTEIRO DE INSTALAÇÃO E CONFIGURAÇÃO Agosto de 2018 2 Sumário Obtendo o Software Cliente Notes... 3 Requisitos para instalação... 4 Instalando o Cliente Notes versão

Leia mais

Instruções para instalação do Palm

Instruções para instalação do Palm Instruções para instalação do Palm Iniciando o Palm 1. Ao ligar o Palm aparecerá a tela do Setup. Clicar no ícone da Casinha. 2. Aparecerá na tela um trevo de quatro folhas. Clicar com a caneta do Palm

Leia mais

OmniPC 4.2 Guia do usuário

OmniPC 4.2 Guia do usuário OmniPC 4.2 Guia do usuário Utilização prevista O OmniPC é um software destinado aos produtos OmniScan usados em ensaios não destrutivos de materiais comerciais e industriais. Não use o OmniPC para fins

Leia mais

Curso de automação industrial utilizando o CLP Haiwell

Curso de automação industrial utilizando o CLP Haiwell Criando um programa para controle de um semáforo Curso de automação industrial utilizando o CLP Haiwell AULA EXEMPLO SEMÁFORO Curso de automação utilizando o CLP Haiwell - Aula Conteúdo da aula Na aula

Leia mais

A instalação será feita através do link Setup Magni 2, situado no CD do Rasther.

A instalação será feita através do link Setup Magni 2, situado no CD do Rasther. Requisitos básicos do sistema - Windows 98, Millenium, XP ou 2000. - Pentium III 500 MHz (no mínimo). - 64 MB RAM. - Porta serial disponível. - 15 MB de espaço livre no HD. - Resolução de vídeo de 800X600

Leia mais

Tutorial STAAD.Pro. 2. No menu seguinte, escolha a opção Add Beam para começar desenhando vigas e pilares e pressione Finish.

Tutorial STAAD.Pro. 2. No menu seguinte, escolha a opção Add Beam para começar desenhando vigas e pilares e pressione Finish. Tutorial STAAD.Pro 1. Abra o programa e selecione New Project. Em seguida, marque a opção Space para que o programa entenda que o usuário deseja criar uma estrutura tridimensional e prepare a área de trabalho

Leia mais

1. Introdução O que é Microsoft PowerPoint Recursos de PowerPoint. Introdução

1. Introdução O que é Microsoft PowerPoint Recursos de PowerPoint. Introdução 1. Introdução 1.1. O que é Microsoft PowerPoint 2007 Microsoft Office PowerPoint 2007 é um software de apresentação gráfica produzido pela Microsoft Corporation para o sistema operacional Microsoft Windows.

Leia mais

Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista

Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista Laboratório Configuração do Backup e da Restauração de Dados no Windows 7 e no Vista Introdução Neste laboratório, você fará backup de dados. E também realizará uma restauração dos dados. Equipamentos

Leia mais

CENTRO UNIVERSITÁRIO DA FEI MR Simulação de Processos em Eng. de Materiais. Aula 7. Simulink

CENTRO UNIVERSITÁRIO DA FEI MR Simulação de Processos em Eng. de Materiais. Aula 7. Simulink EE CENTRO UNIVERSITÁRIO DA FEI MR070 - Simulação de Processos em Eng. de Materiais Aula 7 Simulink 1 I. Introdução Simulink Básico O SIMULINK é uma extensão gráfica do MATLAB para a simulação dinâmica

Leia mais

MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO SERVIDOR

MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO SERVIDOR MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO 22.4.0 SERVIDOR SUMÁRIO 1. INTRODUÇÃO 3 2. INSTALAÇÃO DO SOFTWARE 4 3. UTILIZAÇÃO DO SOFTWARE 6 ANEXO 1 GUIA DE REFERÊNCIA RÁPIDA 17 ANEXO 2 SOLUÇÕES

Leia mais

Este documento consiste em 21 páginas. Elaborado por: Innova Tecnologia de Soluções. Liberado em Março de 2010.

Este documento consiste em 21 páginas. Elaborado por: Innova Tecnologia de Soluções. Liberado em Março de 2010. Manual de Configuração Este documento consiste em 21 páginas. Elaborado por: Innova Tecnologia de Soluções. Liberado em Março de 2010. Impresso no Brasil. Sujeito a alterações técnicas. A reprodução deste

Leia mais