Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Tamanho: px
Começar a partir da página:

Download "Revisão: Projeto e síntese de Circuitos Digitais em FPGA"

Transcrição

1 Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

2 Tópicos Fluxo de projeto em FPGA. Desenvolvimento de um projeto e síntese de um sistema digital. Driver PS2.

3 Fluxo de Projeto em FPGA Projetos baseados em PLD (Dispositivos Lógicos Programáveis) começam com a especificação formal do sistema e termina com a programação ou configuração do dispositivo PLD. PLD SPLD CPLD FPGA

4 Fluxo de Projeto em FPGA + Especificação do circuito Descrição do Circuito Síntese Alocação e Roteamento Análise temporal O fluxo de projeto de sistemas digitais em PLD (FPGA) pode ser alterado de acordo com as ferramentas de softwares disponíveis para projeto e síntese do circuito. As principais empresas fabricantes de FPGA são: Altera Xilinx Lattice Simulação Programação

5 Etapa: Descrição do Circuito As ferramentas de especificação de um projeto baseado em FPGA, normalmente, permitem que o circuito seja descrito em dois formatos: Formato textual: utilizando uma linguagem de descrição de hardware (HDL), como VHDL ou Verilog. Formato gráfico: um desenho esquemático do circuito utilizando elementos primitivos disponibilizados por bibliotecas.

6 Etapa: Síntese O processo de síntese inclui as seguintes etapas: Análise: checagem das partes do projeto e conversão para um formato intermediário. Geração de hardware genérico: o projeto é convertido em conjunto de expressões booleanas e uma netlist (interconexões de elementos) de portas básicas. Otimização: minimização de expressões e conexões do netlist. Síntese final: projeto de elementos lógicos e células do FPGA que serão utilizados na construção do circuito.

7 Etapa: Alocação e Roteamento Na fase de alocação e roteamento, os elementos lógicos projetados em fases anteriores serão alocados na matriz e o processo de roteamento de sinais entre esses elementos é realizado.

8 Etapas: Análise temporal Nesta fase, são geradas informações sobre atrasos de pior caso, velocidade de transferência, atrasos entre portas, como também tempos de gatilhos (setup) e manutenção dos sinais (hold). Essas informações são geradas em formas de tabelas e gráficos, podendo auxiliar em um manutenção do projeto.

9 Etapa: Programação / Configuração do FPGA Após a fase de síntese, são gerados dois tipos de arquivos: Arquivo do tipo.sof (SRAM object file): programar dispositivos baseados em memória SRAMs. Arquivo do tipo.pof (programming object file): programar dispositivos baseados em memória EEPROMs.

10 Fluxo de Projeto

11 Projeto: Prototipagem em FPGA de um Driver PS/2

12 Projeto 1. Projetar um circuito digital para apresentar em sua saída (display de 7 segmentos) qual a tecla de um teclado com conector PS2, sendo necessário implementar o protocolo serial de transmissão de dados PS/2. Utilize o display de 7 segmentos HEX0 (HEX0_D0,..., HEX0_D6) para apresentar o caractere digitado e os pinos PS2_CLK e PS2_DAT para comunicação com o teclado.

13 Protocolo de comunicação PS/2 A comunicação serial no conector PS/2 é síncrona, funciona de 10kHz a 16kHz no nível TTL e ocorre sempre iniciando do bit menos significativo do dado a ser transmitido. O teclado é responsável por gerar o clock interno para sincronismo. Na placa DE2, a comunicação com o conector é realizada pelos pinos PIN_D26 (pino do clock) e PIN_C24 (pino do dado).

14 Protocolo de comunicação PS/2 Circuito de comunicação com o conector da placa DE2:

15 Protocolo de comunicação PS/2 O quadro de uma mensagem do protocolo PS/2 é dividido em dois quadros: Um quadro de 11 bits para a ação de pressionar a tecla. Um quadro de 11 bits para o início da nova transmissão (ACK). Um quadro de 11 bits para a ação de soltar a tecla. Ação de pressionar a tecla: 1 bit de start. 8 bits de dados. 1 bit de paridade (impar). 1 bit de stop.

16 Protocolo de comunicação PS/2 Dado

17 Dado (scancode): Padrão de codificação

18 Projeto: Código em VHDL

19 Visão do Projeto conversor-ascii PS2_CLK PS2_DAT driver-ps2 Display[6..0]

20 DriverPS2.vhd Entidade: Arquitetura:

21 DriverPS2.vhd Arquitetura [continuação]:

22 DriverPS2.vhd Arquitetura [continuação]: Quadro enviado quando a tecla é pressionada.

23 DriverPS2.vhd Arquitetura [continuação]: Intervalo e quadro enviado quando soltar a tecla.

24 Entidade e arquitetura: ConverterCodigo.vhd

25 ConverterCodigo.vhd Convertendo da codificação do teclado em ascii e depois no vetor do display.

26 Programação na placa utilizando o Quartus

27 Referências Altera. DE2 Development and Education Board. Manual do usuário. Disponível em: ftp://ftp.altera.com/up/pub/webdocs/de2_usermanual.pdf. Acesso em: 20 de fevereiro de Alberto Nicolau. Flow Design. Notas de aulas. DE SOUSA FREIRE, Tiago Samir. INTERFACEAMENTO DE ENTRADA E SAÍDA EM APLICAÇÕES COM USO DE FPGA. Universidade Federal do Ceará, 2010.

28 Dúvidas? Dúvidas por 28

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Ficha da Unidade Curricular

Ficha da Unidade Curricular Ficha da Unidade Curricular Curso: Engenharia Electrotécnica/Informática Ano Lectivo: 2010/11 Unidade Curr.: Sistemas Digitais Ano Curricular: 1 Créditos: 6 Responsável: João Paulo Coelho Regime: Anual

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7. UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.21 - ESTUDANTE Prof. Dr. José Renes Pinheiro Prof. Dr. Hélio Leães

Leia mais

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto 2º Semestre/2007 Fabbryccio A. C. M. Cardoso Marcelo Augusto Costa Fernandes Prof. Responsável: Dalton S. Arantes

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

INTERFACEAMENTO DE ENTRADA E SAÍDA EM APLICAÇÕES COM USO DE FPGA

INTERFACEAMENTO DE ENTRADA E SAÍDA EM APLICAÇÕES COM USO DE FPGA UNIVERSIDADE FEDERAL DO CEARÁ CENTRO DE TECNOLOGIA DEPARTAMENTO DE ENGENHARIA ELÉTRICA INTERFACEAMENTO DE ENTRADA E SAÍDA EM APLICAÇÕES COM USO DE FPGA Tiago Samir de Sousa Freire Fortaleza Dezembro de

Leia mais

Protótipo de um Hardware Periférico para Mixagem de Músicas MP3 utilizando a Porta Paralela de um PC Padrão IBM

Protótipo de um Hardware Periférico para Mixagem de Músicas MP3 utilizando a Porta Paralela de um PC Padrão IBM Universidade Regional de Blumenau Centro de Ciências Exatas e Naturais Bacharelado em Ciências da Computação Protótipo de um Hardware Periférico para Mixagem de Músicas MP3 utilizando a Porta Paralela

Leia mais

Processamento de Dados aplicado à Geociências. AULA 1: Introdução à Arquitetura de Computadores

Processamento de Dados aplicado à Geociências. AULA 1: Introdução à Arquitetura de Computadores 1 Processamento de Dados aplicado à Geociências AULA 1: Introdução à Arquitetura de Computadores UNIVERSIDADE FEDERAL DE PELOTAS CENTRO DE DESENVOLVIMENTO TECNOLÓGICO CURSO SUPERIOR DE TECNOLOGIA EM GEOPROCESSAMENTO

Leia mais

Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW

Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW "Este artigo demonstra os recursos e passos necessários para implementar um sistema supervisório de consumo energético e controle

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização Hardware: Componentes Básicos Arquitetura dos Computadores Dispositivos de Entrada Processamento Dispositivos de Saída Armazenamento Marco Antonio Montebello Júnior marco.antonio@aes.edu.br Sistema de

Leia mais

Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO

Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO PROGRAMA DE DISCIPLINA SETOR: Ciências Agrárias e de Tecnologia DEPARTAMENTO: Informática DISCIPLINA: Introdução à Organização

Leia mais

Multimedidores Inteligentes MGE G3 Modo de uso do software IBIS_BE_cnf. ABB Automação. Hartmann & Braun

Multimedidores Inteligentes MGE G3 Modo de uso do software IBIS_BE_cnf. ABB Automação. Hartmann & Braun Multimedidores Inteligentes MGE G3 Modo de uso do software IBIS_BE_cnf ABB Automação Hartmann & Braun Índice 1. IBIS_BE_CNF - DEFINIÇÃO... 3 2. INSTALAÇÃO... 3 2.1. PRÉ-REQUISITOS PARA INSTALAÇÃO... 3

Leia mais

Multimedidores Inteligentes IDM96 Modo de uso do software IBIS_BE_cnf. ABB Automação. Hartmann & Braun

Multimedidores Inteligentes IDM96 Modo de uso do software IBIS_BE_cnf. ABB Automação. Hartmann & Braun Multimedidores Inteligentes IDM96 Modo de uso do software IBIS_BE_cnf ABB Automação Hartmann & Braun Índice 1. IBIS_BE_CNF - DEFINIÇÃO... 3 2. INSTALAÇÃO... 3 2.1. PRÉ-REQUISITOS PARA INSTALAÇÃO... 3 3.

Leia mais

2 Segmentação de imagens e Componentes conexas

2 Segmentação de imagens e Componentes conexas Universidade Tecnológica Federal do Paraná (UTFPR) Departamento Acadêmico de Informática (DAINF) Algoritmos II Professor: Alex Kutzke (alexk@dainf.ct.utfpr.edu.br) Especificação do Primeiro Trabalho Prático

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Cerne Tecnologia e Treinamento Tutorial de Instalação da Placa X-Control I E-mail: Sumário Configurando o PC 3 Conectando a Placa 6 Conectores e Pinagem da Placa 7 Comandos para comunicação 13 2 Obs: A

Leia mais

Experiência 04: Comandos para testes e identificação do computador na rede.

Experiência 04: Comandos para testes e identificação do computador na rede. ( ) Prova ( ) Prova Semestral ( ) Exercícios ( ) Prova Modular ( ) Segunda Chamada ( ) Exame Final ( ) Prática de Laboratório ( ) Aproveitamento Extraordinário de Estudos Nota: Disciplina: Turma: Aluno

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba. Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável

Leia mais

SSC 741 - Projeto e Implementação de Sistemas Embarcados I

SSC 741 - Projeto e Implementação de Sistemas Embarcados I INSTITUTO DE CIÊNCIAS MATEMÁTICAS E DE COMPUTAÇÃO ICMC UNIVERSIDADE DE SÃO PAULO - USP SSC 741 - Projeto e Implementação de Sistemas Embarcados I Projeto Final Responsáveis: Prof. Dr. Eduardo Marques e

Leia mais

4 Sistema Computacional:

4 Sistema Computacional: 4 Sistema Computacional: Hardware: são os componentes e dispositivos eletrônicos que operando em conjunto com outros componentes ou mesmo individualmente realizam uma das funções de um sistema de computação.

Leia mais

Redes Sem Fio (Wireless) Prof. Fred Sauer. email: fsauer@gmail.com. Redes Sem Fio (Wireless) 1

Redes Sem Fio (Wireless) Prof. Fred Sauer. email: fsauer@gmail.com. Redes Sem Fio (Wireless) 1 Redes Sem Fio (Wireless) Prof. Fred Sauer email: fsauer@gmail.com Redes Sem Fio (Wireless) 1 Bibliografia Wireless Communication : O Guia Essencial de Comunicação sem Fio (Livro texto) Andy Dornan Editora

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

UNIVERSIDADE FEDERAL DO RIO DE JANEIRO Escola Politécnica - Departamento de Engenharia Mecânica

UNIVERSIDADE FEDERAL DO RIO DE JANEIRO Escola Politécnica - Departamento de Engenharia Mecânica UNIVERSIDADE FEDERAL DO RIO DE JANEIRO Escola Politécnica - Departamento de Engenharia Mecânica Curso Lato Sensu MECATRÔNICA Módulo Robótica - AULA 6: Exercício Prático Prof. Vitor Ferreira Romano 1. ASPECTOS

Leia mais

CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) «

CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) « CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) «21. Dadas as expressões abaixo, assinale a alternativa que NÃO equivale a uma porta OU, com entradas A e B: a) b) c) d) ( ) ( ) e)

Leia mais

Modelagem de Circuitos Digitais

Modelagem de Circuitos Digitais 1 Modelagem de Circuitos Digitais Para modelar um circuito digital para realizar uma determinada operação: Analisar problema Identificar sinais de entrada do circuito Identificar sinais de saída do circuito

Leia mais

Manual de Programação TED1000 versão TC100 Ethernet

Manual de Programação TED1000 versão TC100 Ethernet Manual de Programação TED1000 versão TC100 Ethernet Indice: Configurações iniciais...2 Configuração de IP...2 Teclas especiais...2 Cabo de rede...2 Programação...3 Abrindo a comunicação (abreip)...3 Enviando

Leia mais

Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões

Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões Fundamentos de Bancos de Dados 3 a Prova Caderno de Questões Prof. Carlos A. Heuser Dezembro de 2009 Duração: 2 horas Prova com consulta Questão 1 (Construção de modelo ER) Deseja-se projetar a base de

Leia mais

O Sistema de Computação

O Sistema de Computação Departamento de Ciência da Computação - UFF O Sistema de Computação Profa. Débora Christina Muchaluat Saade debora@midiacom.uff.br O Sistema de Computação Capítulo 2 Livro do Mário Monteiro Componentes

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

Introdução. Hardware (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu.

Introdução. Hardware (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu. Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Hardware (Parte III) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br Carga

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Terminal de Operação Cimrex 69

Terminal de Operação Cimrex 69 Descrição do Produto O Cimrex 69 agrega as mais novas tecnologias e a mais completa funcionalidade de terminais de operação. Possui visor de cristal líquido gráfico colorido de 5,7 sensível ao toque (

Leia mais

Aula 03. Processadores. Prof. Ricardo Palma

Aula 03. Processadores. Prof. Ricardo Palma Aula 03 Processadores Prof. Ricardo Palma Definição O processador é a parte mais fundamental para o funcionamento de um computador. Processadores são circuitos digitais que realizam operações como: cópia

Leia mais

Organização e Arquitetura de Computadores. Ivan Saraiva Silva

Organização e Arquitetura de Computadores. Ivan Saraiva Silva Organização e Arquitetura de Computadores Hierarquia de Memória Ivan Saraiva Silva Hierarquia de Memória A Organização de Memória em um computador é feita de forma hierárquica Registradores, Cache Memória

Leia mais

Unidade 1: O Computador

Unidade 1: O Computador Unidade : O Computador.3 Arquitetura básica de um computador O computador é uma máquina que processa informações. É formado por um conjunto de componentes físicos (dispositivos mecânicos, magnéticos, elétricos

Leia mais

MODULAÇÃO DE UM SINAL ANALÓGICO

MODULAÇÃO DE UM SINAL ANALÓGICO Relatório de Microprocessadores 2007/2008 Engenharia Física Tecnológica MODULAÇÃO DE UM SINAL ANALÓGICO USANDO UM PWM E UM CIRCUITO RC E AQUISIÇÃO ATRAVÉS DE UM ADC Laboratório IV Trabalho realizado por:

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

SUPERTEC2007 SINCRONISMO DETETOR DE MODOS MICRO

SUPERTEC2007 SINCRONISMO DETETOR DE MODOS MICRO XXVIII. SINCRONISMO DETETOR DE MODOS MICRO Os monitores analógicos usam um CI chamado Detetor de modos e configurador (às vezes é mais de um CI). Este CI recebe os sinais de sincronismo H e V vindos do

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

Introdução à Informática. Aula 05. Redes de Computadores. Prof. Fábio Nelson

Introdução à Informática. Aula 05. Redes de Computadores. Prof. Fábio Nelson Aula 05 Redes de Computadores Sistemas de Comunicação de Dados Sistemas computadorizados que transmitem dados por meio de linhas de comunicação, como, por exemplo, linhas telefônicas ou cabos. História:

Leia mais

Comércio e Manutenção de Produtos Eletrônicos. Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM. Versão 1.

Comércio e Manutenção de Produtos Eletrônicos. Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM. Versão 1. Comércio e Manutenção de Produtos Eletrônicos Manual CP-WS1 Mapeamento de memória e conexões do Controlador CP-WS13/8DI8DO2AI2AO/USB/OEM PROXSYS Versão 1.4 Setembro -2015 Controlador Industrial CP-WS1

Leia mais

Conteúdo programático

Conteúdo programático Introdução à Linguagem C Conteúdo programático Introdução à Linguagem C Estrutura de Programas Variáveis, Constantes Operadores, Entrada e Saída de Dados Estruturas de Desvio Estrutura de Múltipla Escolha

Leia mais

TECNÓLOGO EM ANÁLISE E DESENVOLVIMENTO DE SISTEMAS PROGRAMAÇÃO DE COMPUTADORES I

TECNÓLOGO EM ANÁLISE E DESENVOLVIMENTO DE SISTEMAS PROGRAMAÇÃO DE COMPUTADORES I TECNÓLOGO EM ANÁLISE E DESENVOLVIMENTO DE SISTEMAS PROGRAMAÇÃO DE COMPUTADORES I Aula 02: Organização e Arquitetura de Computadores / Lógica Digital (Parte I) O conteúdo deste documento tem por objetivo

Leia mais

Instituto Politécnico de Beja Escola Superior De Tecnologia e Gestão Departamento de engenharia. Tecnologias WAN

Instituto Politécnico de Beja Escola Superior De Tecnologia e Gestão Departamento de engenharia. Tecnologias WAN Instituto Politécnico de Beja Escola Superior De Tecnologia e Gestão Departamento de engenharia Tecnologias WAN [Escrever o subtítulo do documento] Aluno: 2009 Capitulo 3 Hight-Level Data Link Control

Leia mais

Cerne Tecnologia e Treinamento. suporte@cerne-tec.com.br www.cerne-tec.com.br

Cerne Tecnologia e Treinamento. suporte@cerne-tec.com.br www.cerne-tec.com.br Tecnologia e Treinamento Tutorial para Testes na Placa RS485 MODBUS de 64 Entradas suporte@cerne-tec.com.br Sumário 1. Reconhecendo o Kit 03 2. Reconhecendo a placa PIC Cerne MODBUS I64 RS485 04 3. Alimentação

Leia mais

Terminal de Operação Cimrex 12

Terminal de Operação Cimrex 12 Descrição do Produto O terminal de operação Cimrex 12 apresenta excelente desempenho em tamanho compacto. Possui visor de cristal líquido alfanumérico de duas linhas e 20 colunas. O teclado de membrana

Leia mais

CURSOS OFERECIDOS PELO ITA

CURSOS OFERECIDOS PELO ITA CURSOS OFERECIDOS PELO ITA Formação, Atribuições da Profissão, Áreas de Atuação Engenharia Aeronáutica É a área da engenharia que se ocupa do projeto, fabricação e manutenção de aeronaves e do gerenciamento

Leia mais

Pretende-se neste trabalho familiarizar o aluno com a interface RS-232 e a sua aplicação em aquisição de dados num ambiente laboratorial

Pretende-se neste trabalho familiarizar o aluno com a interface RS-232 e a sua aplicação em aquisição de dados num ambiente laboratorial /$%KWWSGLDQDXFHKXDOJSW,QVWODESGI,QWHUIDFH56 0DWHULDO computador compilador Borland C ou Microsoft QuickBasic cabo série (RS-232) Osciloscópio digital Tektronix TDS210 2EMHFWLYRV Pretende-se neste trabalho

Leia mais

Emparelhamentos Bilineares Sobre Curvas

Emparelhamentos Bilineares Sobre Curvas Emparelhamentos Bilineares Sobre Curvas Eĺıpticas Leandro Aparecido Sangalli sangalli@dca.fee.unicamp.br Universidade Estadual de Campinas - UNICAMP FEEC - Faculdade de Engenharia Elétrica e de Computação

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Dispositivos Lógicos Programáveis (DLPs) Prof. Rodrigo de Paula Rodrigues DLP Conteto Grau de integração EIB - SSI EIM - MSI EIA

Leia mais

Flávia Rodrigues. Silves, 26 de Abril de 2010

Flávia Rodrigues. Silves, 26 de Abril de 2010 Flávia Rodrigues STC5 _ Redes de Informação e Comunicação Silves, 26 de Abril de 2010 Vantagens e Desvantagens da Tecnologia Acessibilidade, quer a nível pessoal quer a nível profissional; Pode-se processar

Leia mais

REGISTRADOR DIGITAL DE GRANDEZAS COM CONEXÃO À INTERNET RELATÓRIO TÉCNICO FINAL

REGISTRADOR DIGITAL DE GRANDEZAS COM CONEXÃO À INTERNET RELATÓRIO TÉCNICO FINAL PONTIFÍCIA UNIVERSIDADE CATÓLICA DO PARANÁ CENTRO DE CIÊNCIAS EXATAS E TECNOLOGIA CURSO DE ENGENHARIA DE COMPUTAÇÃO DISCIPLINA DE PROJETO FINAL REGISTRADOR DIGITAL DE GRANDEZAS COM CONEXÃO À INTERNET RELATÓRIO

Leia mais

BIOS - Códigos de erro

BIOS - Códigos de erro Durante o boot, o BIOS realiza uma série de testes, visando detectar com exatidão os componentes de hardware instalados no micro. Este teste é chamado de POST, acrônimo de "Power-On Self Test". Os dados

Leia mais

ARDUINO UNO Guia do Usuário

ARDUINO UNO Guia do Usuário ARDUINO UNO Guia do Usuário Heco Mecatrônica Ltda. e-mail: vendas@hecomecatronica.com.br Visite nosso site: www.hecomecatronica.com.br Loja Virtual: shop.hecomecatronica.com.br Guia do Usuário - Página

Leia mais

Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1

Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1 Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1 Agenda Introdução Visão Geral PLD Evolução Arquiteturas Chaves de Programação FPGA vs ASIC Fundamentos FPGA Fluxo de projeto A. Mariano - 2012

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

Prof. Daniel Gondim danielgondimm@gmail.com. Informática

Prof. Daniel Gondim danielgondimm@gmail.com. Informática Prof. Daniel Gondim danielgondimm@gmail.com Informática Componentes de um SC Entrada e Saída Entrada e saída visão do usuário Usuários submetem dados (entrada) ao computador para obter informação (saída)

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Curso de Formação de Oficiais Conhecimentos Específicos ENGENHARIA DE COMPUTAÇÃO CADERNO DE QUESTÕES

Curso de Formação de Oficiais Conhecimentos Específicos ENGENHARIA DE COMPUTAÇÃO CADERNO DE QUESTÕES Curso de Formação de Oficiais Conhecimentos Específicos ENGENHARIA DE COMPUTAÇÃO CADERNO DE QUESTÕES 2014 1 a QUESTÃO Valor: 1,00 a) (0,30) Defina gramáticas livre de contexto. b) (0,30) Crie uma gramática

Leia mais

5 Entrada e Saída de Dados:

5 Entrada e Saída de Dados: 5 Entrada e Saída de Dados: 5.1 - Arquitetura de Entrada e Saída: O sistema de entrada e saída de dados é o responsável pela ligação do sistema computacional com o mundo externo. Através de dispositivos

Leia mais

CCNA 1 Conceitos Básicos de Redes. Capítulo1 - Introdução à Redes. Associação dos Instrutores NetAcademy - Agosto de 2007 - Página

CCNA 1 Conceitos Básicos de Redes. Capítulo1 - Introdução à Redes. Associação dos Instrutores NetAcademy - Agosto de 2007 - Página CCNA 1 Conceitos Básicos de Redes Capítulo1 - Introdução à Redes 1 Requisitos para Conexão à Internet Para disponibilizar o acesso de um computador à rede, devem ser levados em consideração 03 parâmetros:

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Interface com Displays de 7 Segmentos. Interface com Displays

Interface com Displays de 7 Segmentos. Interface com Displays Interface com Displays de 7 Segmentos Interface com Displays Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas maneiras: f e a g b c

Leia mais

Revele a próxima geração de desenvolvedores de sistemas em cursos de controle e mecatrônica. Conheça o myrio. ni.com

Revele a próxima geração de desenvolvedores de sistemas em cursos de controle e mecatrônica. Conheça o myrio. ni.com Revele a próxima geração de desenvolvedores de sistemas em cursos de controle e mecatrônica. Conheça o myrio 4 Como preparamos o engenheiro de 2030? Os sistemas estão por toda parte Tesla Motors Red Bull

Leia mais

Comunicação de dados. Introdução

Comunicação de dados. Introdução Comunicação de dados Introdução Os microcontroladores AVR estão equipados com dois pinos destinados à comunicação serial, UART (Universal Asynchronous Reciever/Transmitter), ou seja, permitem trocar informações

Leia mais

SOFTWARE VIAWEB. Como instalar o Software VIAWEB

SOFTWARE VIAWEB. Como instalar o Software VIAWEB SOFTWARE VIAWEB Como instalar o Software VIAWEB O Software VIAWEB é na verdade um receptor de eventos. A única diferença é que os eventos são recebidos via INTERNET. Portanto, a empresa de monitoramento

Leia mais

UNIVERSIDADE F EDERAL DE PERNAMBUCO DESENVOLVIMENTO DE UM SISTEMA DE AQUISIÇÃO PARA RESSONÂNCIA MAGNÉTICA NUCLEAR BASEADO EM FPGA

UNIVERSIDADE F EDERAL DE PERNAMBUCO DESENVOLVIMENTO DE UM SISTEMA DE AQUISIÇÃO PARA RESSONÂNCIA MAGNÉTICA NUCLEAR BASEADO EM FPGA UNIVERSIDADE F EDERAL DE PERNAMBUCO GRADUAÇÃO EM ENGENHARIA DA COMPUTAÇÃO CENTRO DE INFORMÁTICA 2013.2 DESENVOLVIMENTO DE UM SISTEMA DE AQUISIÇÃO PARA RESSONÂNCIA MAGNÉTICA NUCLEAR BASEADO EM FPGA PROPOSTA

Leia mais

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Cesar da Costa Cost036@attglobal.net UNITAU Universidade de Taubaté Departamento de Engenharia Mecânica Pós-Graduação

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h A grande ideia! O processo de concepção de um produto (chip) é muito demorado. Tempo; Esforço;

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

ARTIGO. Sobre monitoramento a Distancia e aplicação automática de medicamentos. Sistema de monitoração a distancia e aplicação de medicamentos.

ARTIGO. Sobre monitoramento a Distancia e aplicação automática de medicamentos. Sistema de monitoração a distancia e aplicação de medicamentos. ARTIGO Sobre monitoramento a Distancia e aplicação automática de medicamentos. Autor: Marcos José Sanvidotti Sistema de monitoração a distancia e aplicação de medicamentos. Resumo: O monitoramento a distância

Leia mais

Mídias Discretas. Introdução à Ciência da Informação

Mídias Discretas. Introdução à Ciência da Informação Mídias Discretas Introdução à Ciência da Informação Mídias Discretas Mídias discretas (estáticas) Texto Gráficos e Imagens Estáticas Caracteres são convertidos para uma representação com um número fixo

Leia mais

Sistemas Digitais Reconfiguráveis

Sistemas Digitais Reconfiguráveis Departamento de Electrónica Telecomunicações e Informática Dezembro de 2006 Interface RS-232 para a TRENZ Daniel Baptista Nº 28703 O projecto O projecto consiste na construção da interface RS-232 e na

Leia mais

Manual de Instalação. BC-2C Módulo GPRS

Manual de Instalação. BC-2C Módulo GPRS Manual de Instalação BC-2C Módulo GPRS INTRODUÇÃO O BC-2C Módulo GPRS Pináculo é um conversor de comunicação que se conecta a qualquer painel de alarme monitorado que utilize o protocolo de comunicação

Leia mais

Lista de Exercícios 1

Lista de Exercícios 1 Conceitos envolvidos: a) Memória de Dados (interna e externa) b) Memória de Programa (interna e externa) c) Operações aritméticas e lógicas d) Portas e) Endereçamento a Bit f) Contadores e Temporizadores

Leia mais

Laboratório de Eletrônica Digital

Laboratório de Eletrônica Digital Laboratório de Eletrônica Digital Controle de Lâmpadas Three-Way Experiência N o 01 Prof. Ivan Sebastião de Souza e Silva Experiência N o 01 Controle de Lâmpadas Three-Way Experiências de Eletrônica Digital

Leia mais

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo.

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo. Circuito de gravação (AVR programmer) Introdução Nossa proposta, nesta parte do trabalho, é apresentar um circuito para gravação ISP (In-System- Programming) para microcontroladores AVR. Este circuito,

Leia mais

Prof. Adilson Gonzaga. Interface com Displays

Prof. Adilson Gonzaga. Interface com Displays Prof. Adilson Gonzaga Interface com Displays Interface com Displays de 7 Segmentos 2 Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas

Leia mais

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que Dispositivos Lógicos Programáveis (PLD) Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que possui um grande número de portas lógicas

Leia mais

UFCD 0770 Dispositivos e Periféricos Formadora: Sónia Rodrigues

UFCD 0770 Dispositivos e Periféricos Formadora: Sónia Rodrigues UFCD 0770 Dispositivos e Periféricos Formadora: Sónia Rodrigues 0770 Dispositivos e Periféricos Objectivos da UFCD: Instalar e configurar dispositivos internos e externos no computador. Identificar as

Leia mais

Dispositivos Externos

Dispositivos Externos Dispositivos Externos Guia do Usuário Copyright 2007 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias para produtos

Leia mais

APÊNDICE A Resumo Teórico

APÊNDICE A Resumo Teórico EPUSP - PS 35/355 - LABOATÓIO DIGITAL APÊNDIE A esumo Teórico E.T.M. e..s./5 (revisão). ONTADOES E EGISTADOES ontadores são circuitos seqüenciais que têm por principal objetivo armazenar o número de eventos

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Basic, Everest e Braille Box. Guia de Instalação

Basic, Everest e Braille Box. Guia de Instalação Basic, Everest e Braille Box Guia de Instalação 2 PUBLICADO POR Index Braille Hantverksvägen 20 954 23, Gammelstad Suécia Telefone: +46 920 2030 80 www.indexbraille.com E POR Tecassistiva Av. Dr. Altino

Leia mais

Aula 02: Tendências Tecnológicas e Custos

Aula 02: Tendências Tecnológicas e Custos Aula 02: Tendências Tecnológicas e Custos Cadeia Alimentar Figura Original Regra: Peixes maiores comem peixes menores Tubarões e Comidas O que é um tubarão? sistema de computação PC Mainframe comer: seguindo

Leia mais

UNIVERSIDADE DO TOCANTINS TECNOLOGIA EM ANÁLISE E DESENVOLVIMENTO DE SISTEMAS PRÁTICA EM PROGRAMAÇÃO DE SISTEMAS

UNIVERSIDADE DO TOCANTINS TECNOLOGIA EM ANÁLISE E DESENVOLVIMENTO DE SISTEMAS PRÁTICA EM PROGRAMAÇÃO DE SISTEMAS ESTUDO DE CASO I Uma das operações oferecidas pelos sistemas bancários para seus clientes é a emissão de extratos da conta corrente ou do cartão de crédito. Esses relatórios permitem que o cliente possa

Leia mais

INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555

INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555 ELE-59 Circuitos de Chaveamento Prof.: Alexis Fabrício Tinoco S. INSTITUTO TECNOLÓGICO DE AERONÁUTICA DIVISÃO DE ENGENHARIA ELETRÔNICA DEPARTAMENTO DE ELETRÔNICA APLICADA TIMER 555 1. OBJETIVOS: Os objetivos

Leia mais

Disciplina: Eletrônica de Potência (ENGC48) Tema: Técnicas de Modulação

Disciplina: Eletrônica de Potência (ENGC48) Tema: Técnicas de Modulação Universidade Federal da Bahia Escola Politécnica Departamento de Engenharia Elétrica Disciplina: Eletrônica de Potência (ENGC48) Tema: Técnicas de Modulação Prof.: Eduardo Simas eduardo.simas@ufba.br Aula

Leia mais

Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações.

Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações. Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações. A partir de agora, iremos conhecer o hardware do Arduino e suas caracteristicas

Leia mais

Arquitetura e Organização de Computadores I

Arquitetura e Organização de Computadores I AULA 09 Estruturas de Interconexão (Barramentos) II Existem algumas questões relacionadas ao desempenho do sistema e os barramentos que merecem ser destacadas. 1. a quantidade de dispositivos conectados

Leia mais

Boletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008

Boletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008 Boletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008 O objetivo deste boletim é mostrar as características do driver MPC6006L. Tópicos abordados neste boletim: APRESENTAÇÃO

Leia mais

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos 3 Objectivos - Configurar os parâmetros associados à comunicação série assíncrona. - Saber implementar um mecanismo de menus para efectuar a entrada e saída de dados, utilizando como interface um terminal

Leia mais

Módulo 3: multisim 7 Circuitos Digitais

Módulo 3: multisim 7 Circuitos Digitais Módulo 3: multisim 7 Circuitos Digitais Esta é a terceira parte do trabalho sobre o MultiSIM 7 e é dirigida para o estudo de circuitos eletrônicos digitais básicos, desta forma os instrumentos e componentes

Leia mais