DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

Tamanho: px
Começar a partir da página:

Download "DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS"

Transcrição

1 DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO NETO JANEIRO DE 2016

2 1. O Xilinx ISE Project Manager O Xilinx ISE Design Suite é um ambiente integrado de projecto de circuitos digitais. Inclui, entre outras ferramentas de projecto, um Editor de Esquemas, um Simulador Lógico e programas para mapeamento automático do circuito digital em dispositivos lógicos programáveis da família Xilinx. Nas secções que se seguem, são introduzidos exemplos básicos de utilização do Editor de Esquemas e do Simulador Lógico, assumindo uma instalação da versão gratuita Xilinx ISE WebPACK Para correr o Xilinx ISE Project Manager pressione o botão respectivo: 2. Instalação O Xilinx ISE WebPACK 14.7 pode ser instalado em MS Windows ou Linux. Estas instruções ilustram a instalação em MS Windows. O ficheiro de instalação pode ser obtido em: 1

3 Antes de descarregar o ficheiro de instalação, terá de responder a um questionário de quatro perguntas. Deverá responder da forma seguinte: 1) Student ISE required for classes 2) WebPACK 3) Spartan-3E/-3A/-3AN 4) This is the first time (da primeira vez) Em seguida deverá criar uma conta. Após a validação da conta e de entrar com o seu User ID e password, poderá descarregar o ficheiro de instalação. Após a instalação, abrir-se-á o Xilinx License Configuration Manager. Ser-lhe-á pedido para adquirir uma licença. Seleccione Get Free Vivado/ISE WebPack License e pressione o botão Next e, na janela que se abre, Connect Now. Será direccionado para a página da Xilinx. Introduza o User ID e password. Confirme os seus dados pressionando Next. Dirija-se à secção Activation Based Licenses e seleccione Vivado Design Suite: HL WebPack, Node-Locked License. Pressione Activate Node-Locked License. Será gerado um ficheiro de licença que deverá salvar no seu computador. Regresse ao Xilinx License Configuration Manager e, na secção Manage Licenses, pressione Load Licence e indique a localização do ficheiro de licença. A partir daqui poderá utilizar o Xilinx ISE WebPACK Por forma a configurar completamente o ambiente de desenvolvimento, deverá executar o ficheiro settings[32 64].bat localizado em [Drive]\Xilinx\14.7\ISE_DS". 3. Abrir um Novo Projecto No menu File seleccione New Project. 2

4 3

5 Entre o nome do projecto, a localização onde vai ser guardado e o tipo do modelo de topo como Schematic. Pressione Next. ou Escolha Spartan3E como Family, XC3S100E como Device, TQ144 (se placa Basys) ou CP132 (se placa Basys2) como Package, XST como Synthesis Tool e ISE Simulator como Simulator. 4

6 Pressione Next e depois Finish, o que irá criar o projecto. No lado esquerdo do editor e da barra de botões, pode ter acesso à janela de hierarquia do projecto seleccionando Design. Para adicionar um esquema ao projecto, pressione o botão direiro do rato no topo da hierarquia do projecto e seleccione New Source. Seleccione Schematic e indique o nome no ficheiro. 5

7 Pressione Next, e em seguida Finish para concluir. 4. O Editor de Esquemas Após a criação do esquema, este aparece aberto no editor de esquemas. Uma janela de opções à esquerda permite configurar o comportamento do editor relativamente à selecção de sinais ou alteração da posição de objectos (e.g., portas lógicas). 6

8 Nota: pode aumentar o tamanho da folha, clicando nesta com o botão direito do rato e escolhendo Object Properties. 5. Colocação de Componentes Clique no botão Add Symbol na barra à esquerda da janela do editor. Escolha um inversor, INV, uma porta OR de 3 entradas, OR3, e uma porta AND de 2 entradas, AND2. Pode seleccionar a(s) porta(s) directamente na lista de componentes, ou escrever o nome na linha inferior Symbol Name Filter da janela de símbolos (ver exemplo com INV). 7

9 Coloque as portas no circuito e use o botão para fazer zoom sobre o circuito. 8

10 6. Interligação de Componentes Passe a modo Add Wire, pressionando o respectivo botão. Para fazer uma ligação entre 2 pinos, pressione o botão esquerdo do rato em cima de um dos pinos, arraste a ligação para cima do segundo pino, e deixe de pressionar o botão esquerdo do rato. Para concretizar as entradas/saídas deixe as ligações respectivas em aberto num dos extremos. Para colocar os marcadores de entrada/saída, pressione o botão saídas do circuito para colocar os marcadores de entrada e de saída.. Clique nas entradas e nas Atribua nomes às entradas e saídas: para escolher uma indentificação para uma entrada/saída do circuito, use o modo de selecção, pressionne o botão direito do rato sobre o símbolo de 9

11 entrada/saída, seleccione a opção Rename Port e introduza o nome no campo respectivo na janela que se abre. Pode atribuir nomes aos sinais (mesmo aqueles que não estão ligados a entradas/saídas), faça-o seleccionando o botão e preenchendo o campo Name. Pode então utilizar o rato para seleccionar o sinal que pretende nomear. Note que todos os sinais que apresentem o mesmo nome serão considerados pelo Xilinx ISE como correspondendo ao mesmo sinal, partilhando portanto os valores lógicos. Isto pode ser útil para facilitar a organização do esquema, pois permite separar elementos que estão logicamente ligados. O esquema do circuito exemplo que vamos considerar é o seguinte: Após completar o esquema do circuito, salve e feche a janela do editor de esquemas. 10

12 7. Simulação Lógica Na hierarquia do projecto, seleccione o topo pressinando o botão direito do rato e seleccione Project->New Source. Seleccione VHDL Test Bench e preencha o nome do novo ficheiro. Pressione Next e seleccione o esquema que pretende testar. Pressione Next e na janela seguinte Finish. Será criado um ficheiro VHDL para configuração da simulação, o qual ficará aberto no editor de texto do Xilinx ISE. O ficheiro está pré-preenchido com a descrição do componente a testar, incluindo os seus sinais de entrada/saída, assim como os sinais correspondentes no módulo de teste. Para completar o ficheiro VHDL, há que especificar os valores lógicos que os sinais do módulo de teste deverão tomar ao longo do tempo de simulação. As zonas onde serão preenchidas a inicialização dos valores dos sinais do módulo de teste (quando necessária) e a especificação da sua evolução ao longo do tempo estão indicadas na figura seguinte. 11

13 A atribuição dos valores lógicos de inicialização dos sinais é feita através do operador :. Por outro lado, a evolução dos sinais ao longo do tempo é feita no interior de estruturas process, que definem procedimentos sequenciais cíclicos (semelhantes aos encontrados em linguagens de programação). É possível definir várias estruturas process que serão executadas em paralelo. Dentro de um process é possível definir a atribuição de valores lógicos a sinais através do operador. Tempos de espera podem ser definidos através de instruções wait. Isto é útil, por exemplo, para manter um ou mais sinais inalterados durante um determinado intervalo, para que se utilizará esta instrução sob a forma wait for. O exemplo que se segue gera todas as combinações CBN de valores dos sinais de entrada do circuito exemplo, mantendo cada combinação durante 25ns. Notar que o sinal IN3 foi considerado o sinal menos significatico, o qual altera o seu valor a cada 25ns. O sinal IN2 altera o seu valor de cada vez que o sinal IN3 volta ao valor inicial, o que faz com que o seu valor se altere a cada 50ns. De forma similar, o sinal IN1 altera o seu valor a cada 100ns. Ilustra-se também a inicialização dos sinais, embora neste caso não fosse necessária. 12

14 Por forma a verificar a sintaxe do ficheiro de teste VHDL, na janela Design, seleccione a vista Simulation. Na parte inferior da janela encontra-se a lista de processos que podem ser executados. A verificação da sintaxe do ficheiro VHDL de teste pode ser feita seleccionado o ficheiro na hierarquia do projecto e executando o processo Behavioral Check Syntax. Detalhes adicionais sobre descrição de circuitos e simulação em VHDL podem ser encontrados na apresentação Hardware 13

15 Description Language.pptx do Prof. Pedro Tomás. A especificação de simulações é abordada a partir do slide 70, constituindo a parte realmente relevante para os trabalhos de laboratório que vamos realizar. Depois de verificada a correcção do ficheiro VHDL, a simulação pode ser executada através da execução de Simulate Behavior Model na parte inferior da janela Design, assumindo que a vista corrente é Simulation, o que dará início à execução do simulador ISim. 14

16 Note o traço amarelo a marcar o fim da simulação. Devido à escala temporal da simulação, esta não é totalmente visível na janela, obrigando o utilizador a navegar utilizando o slider na parte inferior. No entanto, a escala pode ser alterada através dos botões de zoom:,, etc. Ao comprimir a escala, pode-se chegar à figura seguinte. 15

17 Pode também definir o tempo de simulação e repeti-la editando a caixa de diálogo e os botões no topo da janela:. 8. Criação de Símbolos Por forma a estruturar melhor um projecto, torna-se útil abstrair partes de um circuito em unidades funcionais mais simples que podem ser integradas em unidades funcionais mais complexas. Assim, o Xilinx ISE permite associar um símbolo a um circuito definido com base num esquema, desde que este tenha as suas entradas e saídas bem definidas. A partir daí, sempre que se necessitar desse circuito para construir um circuito mais complexo, basta introduzir o seu símbolo neste último. Poupa-se assim espaço e o esquema do circuito complexo fica muito mais legível. Para associar um símbolo a um esquema, vá ao menu Tools e seleccione a opção Symbol Wizard. Na janela resultante, seleccione Using schematic e indique o esquema cujo símbolo pretende criar. Pressione os botões Next e depois Finish. A partir daí, o símbolo estará disponível na biblioteca de símbolos para utilização noutros esquemas. 16

18 9. Arquivar o Projecto Pode arquivar o seu projecto num único ficheiro usando Project / Archive na janela de Projecto. Recomenda-se que guardem uma cópia de arquivo em disquete ou pen própria, visto que a área no disco rígido do computador do laboratório não está protegida. 17

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Programação 2017/2018 2º Semestre

Programação 2017/2018 2º Semestre Mestrado em Engenharia Electrotécnica e de Computadores Programação 2017/2018 2º Semestre Aula de Problemas 1 Os alunos deverão executar os passos aqui descritos no seu computador pessoal ou no laboratório.

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

Computer Setup Manual do utilizador

Computer Setup Manual do utilizador Computer Setup Manual do utilizador Copyright 2007 Hewlett-Packard Development Company, L.P. Windows é uma marca comercial registada nos EUA da Microsoft Corporation. As informações aqui contidas estão

Leia mais

FERRAMENTA DE COMPRESSÃO E CIFRAGEM

FERRAMENTA DE COMPRESSÃO E CIFRAGEM Programa de COMPRESSÃO E CIFRAGEM SECRETZIP (apenas para Windows) O programa está incluído na unidade Flash USB. Consulte o manual na unidade Flash USB ou visite www.integralmemory.com para transferir

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

COMPUTAÇÃO E PROGRAMAÇÃO

COMPUTAÇÃO E PROGRAMAÇÃO COMPUTAÇÃO E PROGRAMAÇÃO 1º Semestre 2015/2016 MEMec, LEAN Ficha da Aula Prática 11: Introdução à criação de programas em C. Sumário das tarefas e objectivos da aula: 1 Aprender os passos necessários à

Leia mais

1 - Ambiente de desenvolvimento

1 - Ambiente de desenvolvimento Neste documento começa-se por apresentar o ambiente de desenvolvimento integrado do Visual Basic (menu bar, toolbars, toolbox, project explorer window, properties window, form designer e code editor window).

Leia mais

Editor Eclipse para Programas F

Editor Eclipse para Programas F Editor Eclipse para Programas F Guia de instalação e utilização Instalação Comece por descarregar a aplicação de instalação CP_setup.exe do endereço http://www.math.ist.utl.pt/~jabr/cp/ Uma vez descarregada,

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Pasta de Dados, Companhias e Trabalhos

Pasta de Dados, Companhias e Trabalhos Pasta de Dados, Companhias e Trabalhos ÍNDICE INTRODUÇÃO 2 O GESTOR DE CAMINHOS DE DADOS (DATA FOLDER SELECTOR) 2 O GESTOR DE COMPANHIAS (COMPANY MANAGER) 3 O GESTOR DE TRABALHOS (JOB MANAGER) 4 CÓPIA

Leia mais

Como fazer download e instalaça o a partir da SAi Cloud

Como fazer download e instalaça o a partir da SAi Cloud Índice Analítico Como fazer download e instalação a partir da SAi Cloud... 2 Ativar o produto... 2 Fazer o download e a instalação do produto... 2 Como fazer download e instalação a partir da SAi Cloud...

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Programação 2010/2011 MEEC

Programação 2010/2011 MEEC Programação 2010/2011 MEEC Code::Blocks Criação de projectos O Code::Blocks é um IDE (ambiente de desenvolvimemnto integrado) que permite a escrita do codigo, compilação, correcção de erros e depuração

Leia mais

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs SISEL Sistemas Electromecânicos Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs GRIS Group of Robotics and Intelligent Systems Homepage: http://www.dee.isep.ipp.pt/~gris

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Instalando o Eclipse e o Android

Instalando o Eclipse e o Android Instalando o Eclipse e o Android Para a elaboração desse material, eu fiz o uso do Eclipse Galileo (Eclipse 3.5.1 para Windows) e o SDK do Android Revisão 5 e o plugin do Android para o Eclipse ADT-0.9.6.

Leia mais

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011 Manual Profissional BackOffice Mapa de Mesas v2011 1. Índice 2. INTRODUÇÃO... 2 3. INICIAR O ZSRest Backoffice... 3 1 4. Confirmar desenho de mesas...... 4 b) Activar mapa de mesas... 4 c) Zonas... 4 5.

Leia mais

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011 Manual Avançado Funcionamento com cartões Sistema Pré-Pago v2011 1. Índice 2. INTRODUÇÃO... 2 3. INICIAR O ZSRest FrontOffice... 3 1 4. Iniciar cartões pré-pagos... 4 b) Adicionar Cartões Pré-Pagoc) Atribuir

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

FORMAÇÃO DE MICROSOFT EXCEL 2010

FORMAÇÃO DE MICROSOFT EXCEL 2010 FORMAÇÃO DE MICROSOFT EXCEL 2010 Mário de Almeida Pedro, M. Sc. Novembro 2013 Bemvindo Identificação da UFCD UFCD nº 0756 Folha de Cálculo, com a duração de 50 horas Microsoft Excel 2010 Objectivos: No

Leia mais

Introduzir ao formando sobre as funcionalidades básicas de um PC (Personal Computer) do Windows 7.

Introduzir ao formando sobre as funcionalidades básicas de um PC (Personal Computer) do Windows 7. BREVES NOTAS Introduzir ao formando sobre as funcionalidades básicas de um PC (Personal Computer) e do Windows 7. PC é uma máquina electrónica capaz de receber dados, processalos, armazena-los e tirar

Leia mais

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra 1 Aula pra tica 1 Projeto e Simulaça o no Agilent Advanced Design System PSI3483 Ondas Eletromagnéticas em meios guiados Prof.ª Fatima Salete Correra Atividades da aula Criando um novo espaço de trabalho

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Neste exercício, vamos criar uma Folha de Cálculo, onde vamos utilizar alguns Controlos de Formulários.

Neste exercício, vamos criar uma Folha de Cálculo, onde vamos utilizar alguns Controlos de Formulários. BARRA DE FERRAMENTAS DE FORMULÁRIOS Neste exercício, vamos criar uma Folha de Cálculo, onde vamos utilizar alguns Controlos de Formulários. A Barra de Ferramentas de Formulário contém objectos gráficos

Leia mais

Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP:

Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP: Guia para configurar o IDE Netbeans para desenvolver projectos em C/C++ com OpenMP: Ativando C/C++ / Fortran no IDE NetBeans: Se você quiser trabalhar com C, C++ ou Fortran no IDE NetBeans, a instalação

Leia mais

MANUAL DO USUÁRIO. Token SafeNet Authentication. Cliente 8.3 (x32 e x64)

MANUAL DO USUÁRIO. Token SafeNet Authentication. Cliente 8.3 (x32 e x64) MANUAL DO USUÁRIO Token SafeNet Authentication Cliente 8.3 (x32 e x64) Índice O que é Token? 03 Instalação do Token 05 Verificação do Certificado 08 Troca de Senhas 12 Canais de comunicação DOCCLOUD Telefone:

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Sophos SafeGuard Enterprise 8.0.1

Sophos SafeGuard Enterprise 8.0.1 Sophos SafeGuard Enterprise 8.0.1 Guia: Manual de instalação do Sophos SafeGuard Enterprise 8.0.1 Data do Documento: Novembro de 2016 Conteúdo 1. Sobre este manual... 3 2. Requisitos de Instalação... 4

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

Manual Prático. Elisabete Aguiar

Manual Prático. Elisabete Aguiar Manual Prático Elisabete Aguiar Índice A BARRA DE OPÇÕES... 4 Abrir um documento existente... 6 Guardar um documento Word... 7 Ambiente de Trabalho no Microsoft Word 2007... 9 Configuração de Páginas...

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Instituto Superior Técnico

Instituto Superior Técnico Introdução à Arquitectura de Computadores Instituto Superior Técnico Lisboa, Setembro de 2013 O Simulador Lógico Logisim 1 Introdução... 2 2 Exemplo de projecto... 3 2.1 Especificação do sistema... 3 2.2

Leia mais

O Manual do K3b. Esta documentação foi convertida a partir da Base de Utilizadores do KDE para o K3b. Tradução: José Pires

O Manual do K3b. Esta documentação foi convertida a partir da Base de Utilizadores do KDE para o K3b. Tradução: José Pires Esta documentação foi convertida a partir da Base de Utilizadores do KDE para o K3b. Tradução: José Pires 2 Conteúdo 1 Introdução 5 2 Pré-configuração 6 3 Configurar a Interface do Utilizador 7 4 Configurar

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira e Daniela Claro Requisitos do ambiente Seguem abaixo os requisitos do ambiente.

Leia mais

MANUAL DE INSTALAÇÃO E TUTORIAL BÁSICO DE UTILIZAÇÃO DO PROGRAMA YASARA VIEW

MANUAL DE INSTALAÇÃO E TUTORIAL BÁSICO DE UTILIZAÇÃO DO PROGRAMA YASARA VIEW MANUAL DE INSTALAÇÃO E BÁSICO DE UTILIZAÇÃO DO PROGRAMA 1 Neste tutorial será apresentada uma ferramenta informática intuitiva e de acesso livre YASARA View que pode ser usada na sala de aula para que

Leia mais

Instruções de acesso à Base Hermes

Instruções de acesso à Base Hermes Instruções de acesso à Base Hermes Inscreva nas caixinhas respectivas as credenciais de acesso à Base Hermes que lhe foram enviadas por email aquando da activação da sua subscrição e clique em Entrar.

Leia mais

Manual do KDiskFree. Jonathan Singer Michael Kropfberger Tradução: José Pires

Manual do KDiskFree. Jonathan Singer Michael Kropfberger Tradução: José Pires Jonathan Singer Michael Kropfberger Tradução: José Pires 2 Conteúdo 1 Introdução 5 2 Utilização do KDiskFree 6 2.1 Iniciar o KDiskFree..................................... 6 2.2 A Janela Principal......................................

Leia mais

Manual do 7-Zip Versão 1

Manual do 7-Zip Versão 1 Manual do 7-Zip Versão 1 O 7-Zip é um software livre que permite efectuar a compressão ou descompressão de ficheiros de forma fácil e intuitiva. Apesar do seu nome dar a entender que apenas trabalha com

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Utilitário de Configuração

Utilitário de Configuração Utilitário de Configuração Manual do utilizador Copyright 2007 Hewlett-Packard Development Company, L.P. Windows é uma marca comercial registada da Microsoft Corporation nos EUA. As informações aqui contidas

Leia mais

- Imprimir um documento, via Wireless, para a Impressora CANON IR1024i.

- Imprimir um documento, via Wireless, para a Impressora CANON IR1024i. HelpDesk Dispositivo: Impressora CANON IR1024i. Local: Sala de Diretores de Turma. Objetivos: - Instalar a Impressora num Notebook com o Sistema Operativo (S.O.) Windows Vista instalado. - Imprimir um

Leia mais

Tarefa Orientada 7 Consultas de selecção

Tarefa Orientada 7 Consultas de selecção Tarefa Orientada 7 Consultas de selecção Objectivos: Consultas de selecção O Sistema de Gestão de Bases de Dados MS Access Consultas As consultas (queries) permitem interrogar a base de dados, de acordo

Leia mais

Utilização de Base de Dados com BluePlant. Sumário

Utilização de Base de Dados com BluePlant. Sumário Nota de Aplicação NAP154 Utilização de Base de Dados com BluePlant Sumário 1. Descrição... 2 2. Introdução... 2 3. Definição da Arquitetura de Referência... 2 3.1 Material Utilizado no Exemplo... 2 4.

Leia mais

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo Usando o Eclipse - Fundamentos Professor Vicente Paulo de Camargo O Eclipse é um ambiente de desenvolvimento integrado (IDE) É a ferramenta mais utilizada pelas empresas, visto que pode ser configurada

Leia mais

Manual de configuração do Central Tiss, com base de dados MySQL e utilização em rede.

Manual de configuração do Central Tiss, com base de dados MySQL e utilização em rede. Centralx Manual de configuração do Central Tiss, com base de dados MySQL e utilização em rede. Observação:: Para realizar a configuração abaixo é necessário que o Central Tiss já esteja instalado em todas

Leia mais

Tarefa Orientada 4 Aplic. Manutenção de Produtos - ComboBox

Tarefa Orientada 4 Aplic. Manutenção de Produtos - ComboBox Tarefa Orientada 4 Aplic. Manutenção de Produtos - ComboBox Objectivos: Utilização de uma fonte de dados. Criação de controlos Combobox ligados a uma fonte de dados. Utilização de parâmetros em consultas

Leia mais

imax Concepção Centrada no Utilizador 2007/2008 Manual do Utilizador

imax Concepção Centrada no Utilizador 2007/2008 Manual do Utilizador Concepção Centrada no Utilizador 2007/2008 imax Manual do Utilizador Grupo 04: Pedro João Grácio Rodrigues 48952 Raquel Garcez Coutinho Costa 57745 Renato Filipe Robles Sousa 52368 Índice Índice... 2 Introdução...

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias 1 IDES E PROGRAMAÇÃO Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias {claudio,macdias}@icmc.usp.br 2 Agenda Conceitos Instalação e Configuração Compilação e Execução de Código IDEs Exemplo

Leia mais

Guia e Utilização do Visual Studio.NET 2003

Guia e Utilização do Visual Studio.NET 2003 Guia e Utilização do Visual Studio.NET 2003 Objectivo Este guia acompanha-o através do processo de criação de uma aplicação C++ utilizando o ambiente de desenvolvimento Visual Studio.NET 2003. Passo 1:

Leia mais

Manual do InCD Reader

Manual do InCD Reader Manual do InCD Reader Nero AG Informações sobre direitos de autor e marcas comerciais Este manual e todo o respectivo conteúdo estão protegidos por direitos de autor e são propriedade da Nero AG. Todos

Leia mais

ArcGIS 10 - Instalação e Licenciamento da versão Education Edition

ArcGIS 10 - Instalação e Licenciamento da versão Education Edition ArcGIS 10 - Instalação e Licenciamento da versão Education Edition Este documento descreve os passos necessários para efectuar a instalação e activação da licença de ArcGIS 10. DVD de Instalação Instalar

Leia mais

ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0

ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0 ADAPTADOR SEM FIOS 450N BANDA DUPLA USB 2.0 Manual de Instalação Rápida DN-70650 Conteúdo Conteúdo da Embalagem... Página 1 Instalação do Cartão de Rede... Página 2 Ligar o Ponto de Acesso Sem Fios...

Leia mais

Disciplina de Lógica e Linguagem de Programação Desenvolvido pelo professor Danilo Giacobo Ferramenta Code::Blocks

Disciplina de Lógica e Linguagem de Programação Desenvolvido pelo professor Danilo Giacobo Ferramenta Code::Blocks Disciplina de Lógica e Linguagem de Programação Desenvolvido pelo professor Danilo Giacobo Ferramenta Code::Blocks Manual de utilização LISTA DE FIGURAS Figura 1 - Tela Inicial do software Code::Blocks...

Leia mais

JLR EPC. Guia de início rápido. Índice. Portuguese Version 2.0. Guia passo a passo Guia de ecrãs

JLR EPC. Guia de início rápido. Índice. Portuguese Version 2.0. Guia passo a passo Guia de ecrãs JLR EPC Guia de início rápido Índice Guia passo a passo......2-7 Guia de ecrãs........8-11 Portuguese Version 2.0 JLR EPC Guia de início rápido 1. Início da App Problemas no login? Inicie seu navegador

Leia mais

O que pode fazer com o Image Data Converter

O que pode fazer com o Image Data Converter O que pode fazer com o Image Data Converter Bem-vindo ao Image Data Converter Ver.1.5, o software de aplicação que lhe permite ver e fazer ajustes num ficheiro de formato RAW (SRF) ( ficheiro RAW neste

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Guia de apoio à utilização. de serviços WFS, através do software GeoMedia

Guia de apoio à utilização. de serviços WFS, através do software GeoMedia Guia de apoio à utilização de serviços WFS, através do software GeoMedia junho de 2015 1 Índice I. Guia de apoio à utilização de serviços WFS... 3 II. Problemas mais comuns no acesso ao serviço WFS...

Leia mais

Índice. 1. Introdução Instalação: Eclipse e Android Primeira aplicação em Android... 11

Índice. 1. Introdução Instalação: Eclipse e Android Primeira aplicação em Android... 11 Índice 1. Introdução... 03 2. Instalação: Eclipse e Android... 04 3. Primeira aplicação em Android... 11 1. Introdução Android trata-se de um sistema operacional baseado em Linux. No dia 05 de novembro

Leia mais

O Manual do KCM Tablet. Jörg Ehrichs Tradução: José Pires

O Manual do KCM Tablet. Jörg Ehrichs Tradução: José Pires Jörg Ehrichs Tradução: José Pires 2 Conteúdo 1 Configuração da Tablete Wacom 5 1.1 Gestão de Perfis....................................... 5 1.2 Configuração e Informação Geral da Tablete......................

Leia mais

Instalação e Atualização do Comércio-plus. Baixando o Arquivo de Instalação

Instalação e Atualização do Comércio-plus. Baixando o Arquivo de Instalação Instalação e Atualização do Comércio-plus Essa opção tem o objetivo auxiliar o usuário final para que possa instalar e atualizar o sistema, verifique os procedimento abaixo de como realizar os procedimentos

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

Actualizações de software Manual do utilizador

Actualizações de software Manual do utilizador Actualizações de software Manual do utilizador Copyright 2009 Hewlett-Packard Development Company, L.P. Microsoft é uma marca registada da Microsoft Corporation nos E.U.A. As informações contidas neste

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira, Fabrício Alves e Daniela Claro Requisitos do ambiente Seguem abaixo os

Leia mais

Procedimentos para Instalação Sisloc (Estação de Trabalho)

Procedimentos para Instalação Sisloc (Estação de Trabalho) Procedimentos para Instalação Sisloc (Estação de Trabalho) Sumário: 1. Informações Gerais... 3 2. Instalação do Sisloc... 3 Passo a passo... 3 1. Informações Gerais Os procedimentos deste manual mostram

Leia mais

Programa +Produtividade +Segurança

Programa +Produtividade +Segurança Contactos, presença e MI Programa +Produtividade +Segurança Skype para Empresas Guia de Introdução Guia Informativo 0 Microsoft Corporation. Todos os direitos reservados. Instalação Apps ios Instalação

Leia mais

Serviço de Informática

Serviço de Informática GUIA DE CONFIGURAÇÃO REDE SEM FIOS EDUROAM PARA WINDOWS 8 Versão 2 Serviço de Informática abril 2018 IDENTIFICAÇÃO Título do Documento GUIA DE CONFIGURAÇÃO DA REDE SEM FIOS EDUROAM PARA WINDOWS 8 Instituição

Leia mais

Manual de instalação do SQL 2012

Manual de instalação do SQL 2012 Manual de instalação do SQL 2012 Instalando o SQL Server 2012 para o funcionamento do Shop Control 9 Insira o DVD de instalação do Shop Control 9 em seu servidor; Na sua aréa de trabalho clique em Computador,

Leia mais

Após instalar o dude, o sistema oferece para descobrir toda sua rede de forma default, particularmerte, se sua rede for grande isso fará uma confusão

Após instalar o dude, o sistema oferece para descobrir toda sua rede de forma default, particularmerte, se sua rede for grande isso fará uma confusão Após instalar o dude, o sistema oferece para descobrir toda sua rede de forma default, particularmerte, se sua rede for grande isso fará uma confusão de devices. Eu prefiro cancelar essa parte e adicionar

Leia mais

Guia Fornecedor Gestão de Oportunidades

Guia Fornecedor Gestão de Oportunidades Guia Fornecedor Gestão de Oportunidades Selos Temporais Configuração de Selos Temporais 1. Entre nas Configurações da Entidade 2. Clique em Adicionar fornecedor de selos temporais na secção Configuração

Leia mais

BMLABEL MANUAL DO UTILIZADOR

BMLABEL MANUAL DO UTILIZADOR MANUAL DO UTILIZADOR Ao executar o programa abre-se uma janela com a lista das empresas registadas e 2 menus activos: Menu Seleccionar para seleccionar a empresa. Menu Sair para sair da aplicação. Para

Leia mais

MC561 / ES5461. Digitalização para & Reencaminhamento de Fax

MC561 / ES5461. Digitalização para  & Reencaminhamento de Fax MC561 / ES5461 Rev. 3 Digitalização para Email & Pág. 1 / 14 Índice Informação a recolher... 3 Acesso ao Equipamento via Web Browser... 4 Configurações de E-mail... 5 Introduzir Endereços Email e Digitalizar...

Leia mais

Manual de Ligação Software XD com AirMenu

Manual de Ligação Software XD com AirMenu Manual de Ligação Software XD com AirMenu Para configurar o Software XD com AirMenu siga os seguintes passos: 1- Inicie o Software XD (POS / REST / DISCO) e aceda ao menu de Configurações Gerais (imagem

Leia mais

Manual de Configuração de Ligação à Internet por placas 3G

Manual de Configuração de Ligação à Internet por placas 3G Manual de Configuração de Ligação à Internet por placas 3G TMN ZTE MF620 USB Caixa Mágica 12 29 de Outubro de 2007 Identificação do documento Nome: Manual de Configuração de Ligação à Internet por Placas

Leia mais

Manual de Criação de Proposta

Manual de Criação de Proposta Manual de Criação de Proposta Índice 1. Peças do Procedimento... 3 2. Formulário da Proposta... 4 2.1 Consulte o procedimento pretendido.... 4 2.2 Crie a proposta.... 4 2.3 Carregamento de proposta...

Leia mais

OpenOffice.org. tutorial apresentação

OpenOffice.org. tutorial apresentação tutorial apresentação Criar uma nova apresentação Assistente Apresentação Inserir diapositivos Seleccionar diapositivos Formatar uma página Formatar diapositivos Vistas do espaço de trabalho Iniciar apresentação

Leia mais

Instale facilmente o OpenVPN num Mac com o Tunnelblick

Instale facilmente o OpenVPN num Mac com o Tunnelblick Instale facilmente o OpenVPN num Mac com o Tunnelblick Date : 11 de Janeiro de 2017 Cada vez mais devemos considerar usar uma VPN para podermos garantir que não temos "espiões" no meio do nosso circuito

Leia mais