Projecto de Sistemas Digitais. Trabalho Prático 1

Tamanho: px
Começar a partir da página:

Download "Projecto de Sistemas Digitais. Trabalho Prático 1"

Transcrição

1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos Familiarização com as principais ferramentas em ambientes de projecto de sistemas digitais. Modelação em Verilog de um circuito digital sequencial síncrono; construção de um testbench que permita verificar a funcionalidade do modelo; síntese lógica do modelo validado e implementação do sistema numa plataforma de prototipagem. Pág. 1 de 8

2 Parte 1 Modelação e síntese lógica Para exemplificar a utilização das ferramentas de projecto correspondentes a esta etapa vaise considerar um contador binário de 3 bits que se pretende simular e sintetizar. A listagem que se segue corresponde ao código Verilog do contador. module counter3b(clock, enable, reset, out, ovf); input clock, enable, reset; output [2:0] out; output ovf; reg [2:0] out; initial out=0; assign ovf = &out; // = out[2] & out[1] & out[0] clock) begin if (reset) out<=0; else if(enable) begin if (out==3 b111) // =7? out<=0; else out<=out+1; end end endmodule 1. Edite o código do contador no ficheiro counter3b.v colocando-o na sua área de trabalho. Após ter analisado o código correspondente ao modelo do contador, construa um testbench que lhe permita verificar a correcta funcionalidade do modelo. Crie para o efeito um ficheiro com o nome tb_counter3b.v. 2. Use a ferramenta de simulação ModelSim para fazer a verificação funcional do contador. (a) Invoque o ModelSim e crie um novo projecto (File New Project) alojando-o na sua área de trabalho (C:\users\???\sims) com o nome count. Pág. 2 de 8

3 (b) Para especificar o projecto execute Options Edit Project. A janela de diálogo que surge permite-lhe indicar quais os ficheiros com código fonte que farão parte do projecto. Assim, com Browse... localize os ficheiros counter3b.v e tb_counter3b.v e faça Import. Desta forma está a copiar estes ficheiros da origem para o directório do projecto (C:\users\???\sims\count). Após cada operação de Import, faça Compile para compilar o código fonte e Add to Library. Este comando acrescenta o ficheiro compilado à biblioteca de trabalho (C:\users\???\sims\count\work) e acrescenta informação no ficheiro build_work.do que permitirá depois compilar automaticamente todos os ficheiros fonte do projecto. Corrija eventuais erros detectados durante a compilação. (c) Seleccione o modelo que representa o topo da hierarquia do projecto (tb_counter3b.v) fazendo Design Load New Design. (d) Antes de efectuar a simulação abra a janela que lhe permitirá visualizar as formas de onda resultantes da simulação (execute View Wave). Abra também a janela referente aos sinais do modelo (View Signals) e arraste os sinais que deseja monitorar para o lado esquerdo da janela de formas de onda. Como alternativa, pode executar o comando add wave * na janela principal do ModelSim que permite visualizar na janela de formas de onda todos os sinais visíveis do top-level. A configuração da janela de formas de onda (cores, base numérica de representação, etc.) pode ser alterada e gravada (File Save Format), sendo criado o ficheiro wave.do. Este pode ser posteriormente invocado noutra sessão de trabalho, a partir da janela de comando, para recuperar o ambiente especificado. (e) Simule agora o modelo executando Run Run -All e analise o resultado. (f) Altere o contador (ficheiro counter3b.v) de modo a torná-lo um contador up-down e verifique o seu funcionamento alterando também o respectivo testbench. Sempre que faça alterações em algum dos ficheiros que compõem o projecto, residentes no directório de simulação, deve recompilar o projecto (Design Compile Project), reiniciar o simulador (Run Restart) e executar de novo a simulação (Run Run -All). 3. Terminada a validação funcional do contador, use a ferramenta de síntese FPGA Express para obter o circuito lógico que o implementa. (a) Crie um novo projecto com File New Project, indicando como raíz o directório C:\users\???\syn. Acrescente ao projecto os ficheiros com código fonte sintetizável em Verilog (apenas counter3b.v neste caso). Caso seja necessário corrija eventuais erros, fazendo de seguida Update. (b) Proceda à síntese do circuito com Synthesis Create Implementation para uma tecnologia alvo XC4000 seleccionando Don t insert I/O pads. Analise e comente os resultados da síntese e compare os esquemas dos circuitos lógicos obtidos para a versão optimizada e para a versão não optimizada. Pág. 3 de 8

4 (c) Experimente criar outras versões usando como critério de optimização, na síntese do circuito, a área ocupada ou a respectiva rapidez com diferentes níveis de esforço. Verifique qual a área mínima obtida para a implementação em termos de FMAPs e flip-flops. (d) Considerando a versão optimizada do circuito sintetizado, crie a netlist referente ao circuito executando Export netlist, seleccionando Verilog para o formato de saída para simulação (Simulation Output Format). Edite e analise o ficheiro assim obtido tendo o cuidado de não o alterar. (e) Repita o processo de validação funcional deste modelo, em vez do modelo original counter3b.v, usando o mesmo testbench. Parte 2 Implementação numa plataforma de ensaio Esta segunda parte do trabalho tem como objectivo exemplificar a implementação física do circuito referente ao contador, entretanto simulado e sintetizado, na plataforma FEUPix. Esta plataforma dispõe de um circuito FPGA da família XC4000E da Xilinx, permitindo a realização de protótipos e a sua experimentação. Para este efeito existe uma biblioteca de compoenentes que podem ser instanciados, servindo por exemplo para estabelecer uma interface para o protótipo realizado. No presente caso será usado um conjunto de botões de entrada para accionar as entradas do circuito, sendo o estado das saídas do contador monitoradas através de um conjunto de LEDs. O resultado do processo de síntese que realizou com o FPGA Express é um ficheiro EDIF que contém um modelo estrutural ao nível lógico do circuito projectado, já mapeado para as células disponíveis nesse tipo de FPGA: tabelas de 4 entradas (FMAP), tabelas de 3 entradas (HMAP) e flip-flops do tipo D. Para implementar esse circuito é agora necessário acrescentar buffers de entrada e saída que liguem as entradas e saídas aos terminais apropriados do circuito FPGA que estão já ligados a botões de pressão e LEDs. 1. Execute o Project Manager do ambiente de projecto Xilinx Foundation. Crie um novo projecto com as características que se seguem, não escolhendo nomes para directórios ou ficheiros com mais de 8 caracteres: Nome: escolha, por exemplo, testc3b; Directório: crie um directório na sua área de trabalho, destinado aos projectos Xilinx (por exemplo, C:\users\???\fndtn). Ao criar o projecto é criado um subdirectório dentro deste, com o mesmo nome do projecto, constituindo o directório do projecto e onde serão mantidos todos os ficheiros que fazem parte do projecto. No nosso exemplo, o directório do projecto será C:\users\???\fndtn\testc3b, sendo criado um ficheiro chamado testc3b.pdf (project description file) que contém informação relativa ao projecto; Pág. 4 de 8

5 Project Type: escolha schematic, uma vez que o top-level do circuito será especificado com o editor esquemático; Family: XC4000E, a família do circuito FPGA que equipa a plataforma FEUPix e a que se destina o circuito a ser implementado; Device: XC4010EPC84, o dispositivo FPGA a que se destina o circuito a ser projectado; Último campo (sem nome): este parâmetro caracteriza a FPGA em termos de rapidez (escolha 4 que corresponde às que existem montadas nas cartas FEUPix). 2. Associe a biblioteca FEUPix ao seu projecto para poder dispôr dos seus componentes. Para tal, no Project Manager execute File Project Libraries, escolha FEUPix e faça Add. 3. Copie todos os ficheiros EDIF (extensão.edf) que estão em C:\local\feupix_lib_1.4\netlist para o directório do seu projecto. Estes ficheiros contêm as netlists dos componentes da biblioteca FEUPix, que foram criados em Verilog e que têm de residir no directório de qualquer projecto que os utilize. No nosso exemplo, esses ficheiros deveriam ser copiados para dentro de C:\users\???\fndtn\testc3b. 4. Invoque o editor esquemático a partir do Project Manager. Por omissão é criado um esquemático vazio com o nome atribuído ao projecto seguido de um número de ordem (testc3b1.sch). (a) Construa um componente na biblioteca do projecto que represente o circuito já sintetizado com o FPGA Express. Para isso, copie para o directório do seu projecto apenas o ficheiro EDIF produzido aquando da síntese. No editor esquemático execute Hierarchy Create Macro Symbol from Netlist, indicando como fonte o nome do ficheiro EDIF que tem a netlist. (b) Construa um esquema com o símbolo do componente que criou e acrescente os interfaces necessários. Pode utilizar o componente LEDs para ligar as 4 saídas do contador e o componente KEYS para ligar às 3 entradas (clock, reset e enable). Note que o sinal de relógio deve ser distribuído através de um buffer especial, que se chama BUFGS, para garantir que o clock skew resultante não compromete o funcionamento do circuito. Atribua nomes a todos os fios usados no seu circuito fazendo duplo click sobre o respectivo fio. 5. Crie a netlist do circuito assim desenhado (Options Create Netlist) e implemente o projecto no Project Manager (Implementation) ignorando eventuais mensagens de aviso. O resultado final da implementação é o ficheiro com extensão.bit criado no directório do projecto, que deverá ser carregado para a FPGA com o programa lpx. 6. Após a implementação examine os relatórios produzidos pela execução dos vários programas e identifique no: Pág. 5 de 8

6 Map report: a ocupação da FPGA em termos de LUTs (look-up tables) de 4 entradas, LUTs de 3 entradas, flip-flops e CLBs (configurable logic blocks), assim como a complexidade do circuito, medida em portas lógicas equivalentes (equivalente ao número de portas NAND de 2 entradas); Post-layout timing report: a frequência máxima estimada para o sinal de relógio e o máximo atraso das interligações. 7. Experimente o circuito na plataforma FEUPix e verifique que cumpre a funcionalidade pretendida. Parte 3 Utilização do ambiente ISE da Xilinx Nas duas primeiras partes deste trabalho exemplificou-se o fluxo de projecto típico no desenvolvimento de um sistema digital recorrendo a ferramentas autónomas, tais como, ModelSim, FPGA Express e Xilinx Foundation. Um dos inconvenientes, visível mesmo num projecto simples, é a necessidade de criar e gerir um project em cada uma daquelas ferramentas, criando redundância de informação e dificultando a sua correcta gestão no espaço de trabalho. Como alternativa, apresenta-se nesta terceira parte do trabalho um ambiente de desenvolvimento integrado a partir do qual são realizadas as várias tarefas relativas ao fluxo de projecto. Este ambiente, proprietário da Xilinx, designa-se por ISE (Integrated Software Environment) e suporta os circuitos FPGA pertencentes às várias famílias Spartan e Virtex. A seguir mostram-se as principais fases de desenvolvimento baseadas no Xilinx ISE considerando o exemplo que tem vindo a ser usado (ficheiros counter3b.v e tb_counter3b.v). 1. Invoque o ambiente ISE usando o Project Navigator. De seguida crie um novo projecto dando-lhe o nome counter, localizando-o em C:\users\???\ISE\counter, referindo-se a uma abordagem HDL e com as seguintes propriedades (manter as atribuições fixadas por omissão): Device Family: Virtex; Device: xcv50; Package: bg256; Speed Grade: -5; Top-Level Module Type: HDL; Synthesis Tool: XST; Simulator: Modelsim; Generated Simulation Language: Verilog Pág. 6 de 8

7 Quando surgir a opção Create a New Source passar à frente e em Add Existing Sources fazer Add Source counter3b.v, indicando que se trata de código fonte (Verilog Design File). Repetir esta última operação para o ficheiro tb_counter3b.v especificando que se trata de um testbench (Verilog Test Fixture File). Em ambos os casos mantenha seleccionada a opção Copy to Project que permite manter uma cópia do código fonte no directório do projecto. 2. Elaborado o projecto, passa-se à simulação do comportamento do modelo nele contido usando os estímulos definidos no ficheiro tb_counter3b.v. Para tal, na janela Sources in Project seleccione tb_counter3b.v e de seguida, na janela Processes for Source, expandindo o botão referente a ModelSim Simulator, faça duplo click em Simulate Behavioral Model executando de seguida o ModelSim. A utilização deste simulador foi já ensaiada na primeira parte deste trabalho, pelo que se dispensam comentários ao seu uso. Com base na visualização das formas de onda correspondentes aos sinais, verifiquee o comportamento do modelo. Como alternativa à criação de um ficheiro com o testbench, o ISE dispõe de uma ferramenta (HDL Bencher) que permite ao utilizador criar visualmente os estímulos a incluir no testbench. Ensaie esta funcionalidade criando um testbench alternativo ao fornecido. 3. A ferramenta de síntese usada neste ambiente designa-se por XST (Xilinx Synthesis Technology). Para proceder à síntese do circuito a partir do modelo descrito em counter3b.v, seleccione este ficheiro na janela Sources in Project e de seguida, na janela Processes for Source, faça duplo click em Synthesize - XST. Para especificar as propriedades a considerar durante o processo de síntese pode seleccionar Process Properties na janela principal e aí definir, por exemplo, o critério e o esforço de optimização, entre outros aspectos. Terminada a síntese podem consultar-se os resultados expandindo o botão referente a Synthesize - XST. 4. Para proceder à implementação do circuito sintetizado no circuito FPGA escolhido, antes de executar Implement Design na janela Processes for Sources relativamente à source counter3b.v, é necessário efectuar a atribuição dos sinais do circuito aos pinos de I/O. Para tal, seleccionar User Constraints, também na janela Processes for Sources e executar Assign Package Pins. Explore outras restrições que podem ser definidas relativamente ao circuito em causa. 5. Finalmente deve verificar-se se o circuito implementado satisfaz os objectivos que se propunham alcançar através da especificação inicial em Verilog. Assim, procede-se à simulação temporal do circuito usando os mesmos estímulos já utilizados durante a simulação funcional (ficheiro tb_counter3b.v). Para tal, na janela Sources in Project seleccione tb_counter3b.v e de seguida, na janela Processes for Source, expandindo o botão refehttp:// Pág. 7 de 8

8 rente a ModelSim Simulator, faça duplo click em Simulate Post-Place & Route Verilog Model executando de seguida o ModelSim. Para uma familiarização mais profunda com o Xilinx ISE aconselha-se seguir o respectivo tutorial disponível a partir da página da disciplina ( Fim. Pág. 8 de 8

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs

Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs SISEL Sistemas Electromecânicos Guião do Trabalho Laboratorial Nº 5 Modelação e Simulação de um Motor DC Através de Bond Graphs GRIS Group of Robotics and Intelligent Systems Homepage: http://www.dee.isep.ipp.pt/~gris

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

MicroElectrónica. Trabalho de Laboratório. Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice

MicroElectrónica. Trabalho de Laboratório. Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice MicroElectrónica Ano Lectivo 2004/2005 Trabalho de Laboratório Ambientação com as Ferramentas Dsch2, Microwind2 e Pspice Marcelino Santos, F. Gonçalves, J. P. Teixeira Fevereiro, 2005 1 Introdução Pretende-se

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

Electrónica II. 4º Trabalho de Laboratório. Projecto de CIs digitais (Dsch2 e Microwind2)

Electrónica II. 4º Trabalho de Laboratório. Projecto de CIs digitais (Dsch2 e Microwind2) Electrónica II Ano Lectivo 2006/2007 4º Trabalho de Laboratório Projecto de CIs digitais (Dsch2 e Microwind2) Marcelino Santos Maio, 2007 1 Introdução Pretende-se com este trabalho proporcionar um primeiro

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Projecto de Sistemas Digitais (EEC4279)

Projecto de Sistemas Digitais (EEC4279) Projecto de Sistemas Digitais (EEC4279) Licenciatura em Engenharia Electrotécnica e de Computadores 5º ano, 1º semestre José Carlos Alves (jca@fe.up.pt) António José Araújo (aja@fe.up.pt) http://www.fe.up.pt/~aja/psd2005_06

Leia mais

INTRODUÇÃO AO SIMUL8

INTRODUÇÃO AO SIMUL8 INTRODUÇÃO AO SIMUL8 Prof. Dr. Rui Carlos Botter SOFTWARE PARA SIMULAÇÃO DISCRETA Março de 2015 Procure em todos os programas o SIMUL e abra o software A versão estudantil é mais restrita, mas permitirá

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Mestrado Integrado em Engenharia Electrotécnica e de Computadores 2006/07 2 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação e simulação de uma interface de dados Objectivo Modelação

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Bases de Dados. Lab 1: Introdução ao ambiente

Bases de Dados. Lab 1: Introdução ao ambiente Departamento de Engenharia Informática 2009/2010 Bases de Dados Lab 1: Introdução ao ambiente 1º semestre O ficheiro bank.sql contém um conjunto de instruções SQL para criar a base de dados de exemplo

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT029 Atividade de Laboratório 1 Aluno: Mat.: Aluno: Mat.: Aluno: Mat.:

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007

Projecto de Sistemas Digitais I. 2 o Ano 2006/2007 Projecto de Sistemas Digitais I Lic. em Engenharia de Sistemas e Informática 2 o Ano 2006/2007 António J. Esteves Dep. Informática, Universidade do Minho Braga, Portugal 16 de Abril de 2007 Projecto Objectivos

Leia mais

1 - Ambiente de desenvolvimento

1 - Ambiente de desenvolvimento Neste documento começa-se por apresentar o ambiente de desenvolvimento integrado do Visual Basic (menu bar, toolbars, toolbox, project explorer window, properties window, form designer e code editor window).

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 2

Projecto de Sistemas Digitais. Trabalho Prático 2 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Projecto de Sistemas Digitais 2007/08 1 o semestre 4 o Ano Trabalho Prático 2 Controlo de brilho para sistema de processamento de imagem

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

Bases de Dados. Lab 1: Introdução ao ambiente. Figura 1. Base de dados de exemplo

Bases de Dados. Lab 1: Introdução ao ambiente. Figura 1. Base de dados de exemplo Departamento de Engenharia Informática 2013/2014 Bases de Dados Lab 1: Introdução ao ambiente 1º semestre O ficheiro bank.sql contém um conjunto de instruções SQL para criar a base de dados de exemplo

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

Familiarização com o ambiente de desenvolvimento da Keil Software. (uvision 51 e dscope 51)

Familiarização com o ambiente de desenvolvimento da Keil Software. (uvision 51 e dscope 51) Familiarização com o ambiente de desenvolvimento da Keil Software (uvision 51 e dscope 51) jpsousa@fe.up.pt Setembro 2005 Conteúdo 1 Objectivos 2 2 Introdução 2 3 O ambiente de desenvolvimento da Keil

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Programação 2017/2018 2º Semestre

Programação 2017/2018 2º Semestre Mestrado em Engenharia Electrotécnica e de Computadores Programação 2017/2018 2º Semestre Aula de Problemas 1 Os alunos deverão executar os passos aqui descritos no seu computador pessoal ou no laboratório.

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Instituto Superior Técnico

Instituto Superior Técnico Introdução à Arquitectura de Computadores Instituto Superior Técnico Lisboa, Setembro de 2013 O Simulador Lógico Logisim 1 Introdução... 2 2 Exemplo de projecto... 3 2.1 Especificação do sistema... 3 2.2

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Introdução: A capacidade de contar é uma operação fundamental em eletrónica digital. Um contador fornece uma saída binária igual ao número

Leia mais

Electrónica dos Sistemas Embebidos. Guia de Laboratório III

Electrónica dos Sistemas Embebidos. Guia de Laboratório III Electrónica dos Sistemas Embebidos Guia de Laboratório III IST-2017 Motivação Na última década assistimos a um crescimento muito significativo de funcionalidades implementadas em circuitos electrónicos.

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Projecto de Sistemas Digitais

Projecto de Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Duração máxima: 60 minutos, sem consulta. Projecto de Sistemas Digitais 4º ano - 1º semestre 2º miniteste 17 de Dezembro 2007 NOME: [5 valores]

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

TUTORIAL PARA SIMULAÇÃO

TUTORIAL PARA SIMULAÇÃO TUTORIAL PARA SIMULAÇÃO Fernando Moraes Atualizado em 29/outubro/2012 O presente laboratório tem por objetivos: 1. Ilustrar o comportamento dos somadores vistos nas aulas teóricas 2. Introduzir as ferramentas

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais