Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs

Tamanho: px
Começar a partir da página:

Download "Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs"

Transcrição

1 Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Prof. Edson Lemos Horta Fevereiro 2008

2 1 Introdução aos Circuitos Integrados para Aplicações Específicas (Application Specific Integrated Circuits ASICs) Para melhorar o seu desempenho no mercado, mais e mais fabricantes têm procurado aumentar muito o nível de integração dos componentes eletrônicos em seus produtos (usando um menor número de CIs, com cada CI realizando funções mais elaboradas). Com os CIs integrando funções cada vez mais complexas, os projetistas foram encontrando grandes dificuldades para definir blocos de lógica padronizados que implementassem estas funções. Destas dificuldades surgiram os ASICs ("Application Specific Integrated Circuits"-Circuitos Integrados para Aplicações Específicas). Com estes integrados muitos benefícios foram proporcionados aos projetistas. Com a utilização de um ASIC, a eficiência de um projeto aumenta muito, devido à especificidade do mesmo, ou seja, o projeto tem por objetivo atender apenas às necessidades do produto. Não é preciso escolher CIs que tenham certas características não aproveitáveis para o produto que, em muitos casos podem até comprometer o desempenho ou o custo do mesmo. Com isto, obtém-se uma adequação da função do CI ao projeto ótimo do sistema. Outra vantagem muito importante que os ASICs proporcionam é o uso eficiente da placa de circuito impresso (PCI). Isto se deve ao fato destes componentes conseguirem integrar muitos CIs convencionais e reduzirem o tamanho da PCI. Além disso, com a complexidade dos sistemas digitais aumentando, cada vez mais componentes são necessários na placa de circuito impresso, o que aumenta a taxa de falhas do sistema como um todo. Um produto construído com ASICs consegue reduzir drasticamente este número, obtendo uma confiabilidade mais alta. As opções de ASICs disponíveis atualmente no mercado são: a) "Gate Arrays"; b) "Standard Cells"; c) "Full Custom"; d) PLDs ("Programmable Logic Devices -Dispositivos Lógicos Programáveis); Os Gate Arrays" são matrizes de transistores sem função definida, separados por canais. Através de ligações feitas nestes canais consegue-se conectar os transistores para que eles executem uma função lógica específica. Com isto consegue-se implementar células básicas que serão utilizadas no projeto de sistemas digitais mais complexos. O ASIC é projetado como uma rede destas células interligadas. Após se obter o "netlist" (lista de ligações) desta rede, o projeto torna-se automático. Além disso, esta metodologia pode ser usada sem problemas por projetistas de sistemas, não entrando em detalhes mais específicos dos blocos. As desvantagens da mesma são as condições de contorno (canais fixos, geometrias de transistores fixas, etc.) de projeto rígidas e a complexidade de se obter um "netlist" adequado. Os "Standard Cells" (Células Padronizadas) são CIs projetados com base em células de bibliotecas pré-definidas. Cada célula pode ser interligada para a implementação do sistema digital pretendido. A vantagem deste tipo de circuito é o tempo de projeto que é muito reduzido. Em contrapartida, o projetista só pode utilizar as células existentes na biblioteca do fabricante, sem modificar as suas características internas, o que não permite a otimização dos parâmetros elétricos das mesmas. Este problema é resolvido com a utilização dos CIs "Full Custom", que permitem ao projetista personalizar o "lay-out" e as interconexões do CI. A principal desvantagem destes CIs é o seu alto custo de projeto, pois o projetista participa praticamente de todo o ciclo junto com o fabricante. Os PLDs são CIs que possuem uma matriz de interconexões com portas "AND" e "OR" que podem ser programadas pelo projetista para realizar as mais diversas funções lógicas. Alguns CIs possuem registradores ligados às saídas destas matrizes e controle de entrada e saída. A principal 1/51

3 vantagem dos PLDs é o tempo gasto durante o projeto do CI que é bastante reduzido, diminuindo assim os custos de desenvolvimento do mesmo. Atualmente, existem PLD s que podem ser reprogramados, possibilitando a sua utilização em diferentes projetos. Os principais tipos de PLDs existentes são: CPLD ("Complex Programmable Logic Devices") e FPGA ("Field Programmable Gate Arrays"). A principal diferença entre eles é a complexidade das suas estruturas programáveis (maior nos FPGAs) e a maneira como elas são interligadas (mais previsível nos CPLDs). O projeto de um Sistema Digital utilizando FPGAs segue as etapas ilustradas na figura 1. 2/51 Fig. 1 Fluxo de Projeto para FPGAs No particionamento do sistema é feita a divisão do mesmo em blocos que realizam funções semelhantes. Após este particionamento é feita a descrição de cada um dos blocos e como os mesmos devem ser interligados. Esta descrição pode ser feita através de um esquema elétrico e/ou um arquivo em formato texto, utilizando uma linguagem de descrição de hardware (HDL). As mais utilizadas são: VHDL (Very High Speed Integrated Circuit Hardware Description Language) e VERILOG. Depois de descrever o sistema é possível fazer a simulação comportamental do mesmo, antes da síntese. Nesta simulação é possível verificar o comportamento do sistema e também comprovar se a partição efetuada no início foi eficiente. A síntese do sistema consiste na geração de uma lista de ligações dos vários elementos lógicos responsáveis pelas funções de cada bloco do projeto. Estes elementos lógicos são os blocos

4 básicos presentes na FPGA escolhida. Depois da síntese é possível realizar uma simulação mais precisa do sistema, mas ainda sem a informação relativa ao timing do mesmo. A próxima etapa é a implementação do sistema na FPGA. Esta fase é composta por três passos: a) Translate: combina os vários arquivos gerados durante a síntese em um único arquivo de ligações (netlist); b) Map: mapeia o arquivo gerado pelo Translate nos componentes físicos presentes na FPGA; c) Place & Route: posiciona os componentes na FPGA, roteia os sinais de interligação e gera os arquivos com relatórios e base de dados utilizada na simulação. Após a implementação é possível a realização de uma simulação do sistema, denominada Simulação de Timing. Nesta simulação visualiza-se os tempos de propagação reais de cada sinal contido no sistema. A penúltima etapa do projeto é a geração do arquivo de programação da FPGA. Através deste arquivo configura-se a mesma para funcionar de acordo com a especificação inicial do sistema. Na última fase grava-se a FPGA com este arquivo, através de um cabo conectado à porta paralela do PC. Todas as etapas anteriores estão integradas a um ambiente de desenvolvimento denominado ISE (Integrated System Environment). 3/51 2 Linguagem VHDL A linguagem VHDL (VHSIC Very High Speed Integrated Circuit - Hardware Description Language) foi desenvolvida inicialmente para documentar e simular sistemas digitais, no início da década de 80. Após isto, na década de 90, ela começou a ser utilizada por empresas fornecedoras de chips para descrever os projetos contidos nos mesmos. Através da linguagem VHDL é possível descrever um sistema digital através de um arquivo texto, possibilitando a implementação do mesmo por diferentes ferramentas de desenvolvimento. Os elementos principais contidos em uma descrição VHDL são: entity: declaração inicial de um módulo, contendo seu nome e os sinais de entrada e saída do mesmo; architecture: descrição interna de uma entity ; ports: declaração de cada um dos sinais externos de entrada e saída de um módulo; signal: declaração de sinais internos ao módulo; process: declaração de um processo interno a um módulo, dependente de um ou mais sinais, contidos em uma lista logo após o nome do processo. A principal diferença existente entre uma linguagem de programação usual e VHDL está na construção process. Uma entity pode conter um ou mais processos, que estão sendo executados todos em paralelo, geralmente sincronizados por um sinal de relógio (não devemos esquecer que estamos descrevendo hardware, que possui um alto grau de paralelismo). No próximo item será visto como abrir um projeto em VHDL na ferramenta ISE.

5 4/51 3 Metodologia de Projeto de Sistemas Digitais utilizando FPGAs Todos os projetos criados no ISE são iniciados da mesma maneira: a) definição do diretório de trabalho; b) definição do nome do projeto; c) definição do tipo de arquivo que descreve o nível mais alto de hierarquia de projeto; d) escolha da FPGA na qual será implementado o sistema; e) criação de arquivos novos de projeto ou adição de arquivos já existentes. Se for escolhida a criação de um novo arquivo, as opções mais utilizadas são: a) Schematic: esta opção cria um arquivo gráfico para se desenhar o projeto através de blocos de biblioteca ou projetados anteriormente. b) Test Bench Waveform: permite a criação de um arquivo com as formas de onda dos sinais de entrada/saída do sistema, para posterior simulação do mesmo; c) VHDL Module: permite a criação de arquivos do tipo VHDL; 3.1 Projeto em VHDL no ISE A ferramenta ISE facilita a criação do arquivo VHDL através da geração de um arquivo modelo, para que o usuário complete com a descrição da arquitetura da entity desejada. Basta seguir o procedimento abaixo: a) abrir o Project Navigator (Iniciar Programas Xilinx ISE 8.1i Project Navigator b) selecionar File New Project. c) Na janela New Project, escolher o diretório de trabalho (Project Location = C:\Alunos\Numero_do_aluno\), definir o nome do projeto (PORTA_E) e o tipo de arquivo do módulo principal (Top-Level Module Type = HDL). No diretório de trabalho será criado um subdiretório com o nome do projeto escolhido; Fig. 2 Criação de Novo Projeto

6 5/51 d) Clicar em <avançar> e) Selecionar o dispositivo e o tipo de projeto (vide figura 3): Family (família de FPGA): Spartan-3 Device (código do componente): xc3s400 Package (encapsulamento): ft256 Speed Grade (velocidade da FPGA): -4 Top-Level Module Type (tipo de descrição do módulo mais alto da hierarquia): HDL Synthesis Tool (ferramenta de síntese): XST(VHDL/Verilog) Simulator (ferramenta de simulação): ISE Simulator (VHDL/Verilog) Fig. 3 Propriedades do Projeto f) Clicar em <avançar>.

7 6/51 Fig. 4 Novo Arquivo Fonte g) Na janela acima, clicar em New Source ; h) Na opção Select Source Type, selecionar a opção VHDL Module e colocar o nome do arquivo igual a porta_e_vhdl, deixando a opção Add to project selecionada. Fig. 5 Selecionar Tipo de Arquivo Fonte i) Clicar em <avançar> j) Na opção Define Module, no campo Entity Name colocar porta_e. No campo Architecture Name colocar porta_e_arq. Esta janela possui uma tabela que nos permite

8 definir os sinais externos de entrada e saída do nosso módulo. Basta preencher a mesma de acordo com a tabela abaixo. 7/51 Port Name Direction MSB LSB entrada1 in entrada2 in saida out k) Clicar em <avançar> e conferir o resumo do arquivo que será gerado em VHDL: Fig. 6 Resumo do Arquivo VHDL l) Clicar em <concluir>. m) Na opção Create New Source novamente, clicar em <avançar>. n) Na opção Add Existing Source, clicar em <avançar>. o) Na janela Project Summary, verificar se as opções descritas estão corretas e clicar em <Concluir>.

9 8/51 Fig. 7 Resumo do Projeto Após o procedimento acima, na janela do Project Navigator denominada Sources, situada no canto superior esquerdo, aparecerá o arquivo porta_e_vhdl.vhd, logo abaixo do nome da FPGA escolhida anteriormente. Fig. 8 Fonte do Projeto

10 9/51 Para visualizar o arquivo VHDL gerado automaticamente, basta clicar na aba com o nome do mesmo, na parte inferior do Project Navigator. Fig. 9 Arquivo VHDL Neste arquivo está faltando apenas descrever o funcionamento da porta E que será implementada em VHDL, após a palavra begin, que corresponde ao início da descrição da arquitetura do módulo porta_e. Para tanto, basta acrescentar a seguinte linha e salvar o arquivo novamente: saida <= entrada1 AND entrada2; 3.2 Implementação do Projeto Para implementar o projeto através do ISE, basta escolher o arquivo que descreve o mesmo na janela Sources e depois clicar duas vezes no processo chamado Implement Design, na janela Processes.

11 10/51 1 o ) Selecionar o arquivo VHDL 2 o ) Clicar duas vezes em Implement Design Fig. 10 Implementação do Projeto A ferramenta ISE passará pelas fases indicadas na figura 1 e todos os arquivos necessários à programação e simulação do dispositivo estarão prontos. 3.3 Simulação Antes da programação do FPGA, é preciso simular o projeto para verificar se o seu funcionamento está de acordo com a especificação inicial. Para simular um projeto através do ISE, é necessário criar um arquivo que define as formas de onda dos sinais presentes no mesmo. Este arquivo é denominado Test Bench Waveform. Depois, o ISE utiliza uma ferramenta de simulação denominada ISIM para interpretar os dados do arquivo de simulação e gerar as formas de onda para visualização de cada um dos sinais presentes no projeto Geração do Test Bench (Formas de Onda)

12 11/51 Nesta seção será criado o arquivo de simulação para o exemplo da seção anterior. Para gerar este arquivo basta seguir este procedimento: a) selecionar o arquivo porta_e_vhdl na janela Sources b) Selecionar Project New Source. Na janela New Source Wizard Select Source Type, selecionar o tipo Test Bench Waveform e colocar como nome do arquivo porta_e_vhdl_tb. c) Clicar em <avançar> 2 vezes. d) Clicar em <Concluir> A ferramenta que permite ao projetista descrever as formas de onda de simulação é denominada HDL Bencher. Quando a mesma for acionada, será mostrada uma janela com os parâmetros utilizados na definição das formas de onda. Fig Inicialização das Formas de Onda (Circuito Seqüencial)

13 Quando o sistema possui um ou mais clocks a ferramenta possibilita definir alguns parâmetros, sendo que os principais são: a) Clock high time e Clock low time : especificam o período do clock do sistema; b) Input setup time : define quando as entradas devem estar válidas; c) Output valid delay : define o tempo após a borda de subida do clock que as saídas estarão válidas; d) Initial Lenght of Test Bench : define o tamanho inicial da janela de simulação, que pode ser alterado mais tarde. 12/51 No exemplo da porta E não existe um sinal de clock. Portanto, basta escolher a opção Combinatorial (or internal clock) no alto da janela à esquerda, conforme a figura abaixo, e clicar no <Concluir>. Selecionar Combinatorial... Fig Inicialização das Formas de Onda (Circuito Combinatório) Logo em seguida será mostrado na tela o arquivo com as formas de onda dos sinais de entrada/saída do projeto, conforme mostrado na figura abaixo.

14 13/51 Fig. 13 Formas de Onda do HDL Bencher Para alterar as formas de onda basta clicar com o botão esquerdo sob o intervalo definido por um ciclo de clock, no caso de sistemas com sinal de clock, ou pela soma dos valores colocados na janela de informação de tempo (figura 11). Caso o sinal contenha um bit apenas, ele mudará seu valor de 1 para 0 e vice-versa. Caso o sinal contenha mais de um bit, é possível colocar um valor de acordo com o número de bits ou especificar alguns valores pré-determinados, através de uma janela auxiliar, denominada Pattern Wizard. Para acioná-la basta clicar no botão Pattern, logo após clicar sob o ciclo de clock desejado. 1 o Exercício: utilizando o mouse, descrever as formas de onda de acordo com a figura abaixo. Fig. 14 Formas de Onda do 1 o Exercício Salve o arquivo e feche o HDL Bencher. O arquivo porta_e_vhdl_tb.tbw é adicionado automaticamente ao projeto Simulação com o ISIM Para simular o projeto no ISE, é utilizada uma ferramenta denominada ISIM. O ISE gera automaticamente todos os arquivos necessários à simulação e aciona esta ferramenta, abrindo automaticamente uma janela com o resultado da simulação. Para iniciar este processo, a primeira providência a ser tomada é escolher na janela Sources a opção de mostrar os arquivos de simulação, conforme a figura abaixo.

15 14/51 Fig. 15 Escolha dos arquivos de simulação Em seguida deve ser escolhido o arquivo porta_e_vhdl_tb.tbw na janela Sources e clicar duas vezes no processo Simulate Post-Place & Route Model, conforme a figura abaixo. 1 o ) Selecionar o arquivo TBW 2 o ) Clicar duas vezes em Simulate Post- Place & Route Model Fig. 16 Simulação do Projeto

16 15/51 Ao final da simulação será mostrada a tela abaixo, com o resultado da mesma. Fig. 17 Simulação do 1 o Exercício Caso seja necessário modificar os valores das formas de onda, basta fechar a janela da simulação e alterar o arquivo TBW. Caso este arquivo tenha sido fechado, clicar duas vezes sobre o nome do mesmo para abrí-lo novamente. Para acionar a simulação, selecionar o arquivo TBW e clicar duas vezes no processo Simulate Post-Place & Route Model. Para terminar a simulação basta fechar as janelas do arquivo TBW e do arquivo com os resultados da simulação. 3.4 Atribuição de Pinos Em alguns casos é necessário atribuir cada um dos sinais do projeto a pinos específicos do chip. No ISE esta atribuição pode ser feita através de um arquivo do tipo texto, com extensão UCF ( User Constraint File ). Para editar este arquivo é necessário primeiro configurar a janela Sources para mostrar apenas os arquivos utilizados na implementação: Fig. 18 Selecionar Arquivos de Implementação

17 Em seguida, basta selecionar o arquivo com a descrição do projeto (PORTA_E_VHDL.VHD) na janela Sources e clicar duas vezes no sub-processo denominado Edit Constraints (Text), que se encontra subordinado ao processo User Constraints : 16/51 1 o ) Selecionar o arquivo VHD 2 o ) Clicar duas vezes em Edit Constraints (Text) Fig. 19 Acionar o Editor do Arquivo UCF Caso apareça a mensagem abaixo, explicando que será criado um arquivo UCF e acrescentado à hierarquia de projeto, basta clicar em <SIM>. Fig. 20 Criação do Arquivo UCF

18 A sintaxe utilizada no arquivo UCF para atribuir um sinal a um pino do chip é a seguinte: NET "nome_do_sinal" LOC = "coordenada_do_pino" ; 17/51 linha: Por exemplo, para atribuir ao sinal SAIDA_A ao pino K12 do chip, utiliza-se a seguinte NET "Saida_A" LOC = "K12" ; 2 o Exercício: atribuir a pinagem do SD de acordo com a tabela abaixo: SINAL (PROJETO) PINO (FPGA) SINAL (PLACA) entrada1 K13 SW7 entrada2 K14 SW6 saida P11 LED7 Após a atribuição de todos os pinos desejados, basta salvar o arquivo UCF e fechar a janela do mesmo. A partir deste instante o arquivo UCF fará parte do projeto, sendo colocado logo abaixo do arquivo com a descrição VHDL: Fig. 21 Arquivo UCF na Hierarquia de Projeto Para implementar o projeto basta selecionar o arquivo VHDL e clicar duas vezes sobre o sub-processo Implement Design (vide item 3.2). 3.5 Download Para a gravação da FPGA, basta conectar o cabo de programação à porta paralela do PC e ao conector JTAG da placa didática (vide ANEXO B) e ligar a fonte de alimentação à placa. Em seguida, selecionar o arquivo porta_e_vhdl.vhd na janela Sources e clicar 2 vezes no sub-processo Configure Device (impact ), que está associado ao processo Generate Programming File.

19 18/51 1 o ) Selecionar o arquivo VHD 2 o ) Clicar duas vezes em Configure Device (impact) Fig. 22 Aplicativo de Programação da FPGA Na janela abaixo basta verificar se a opção que detecta automaticamente a placa está habilitada e clicar em <Concluir>:

20 19/51 Fig. 23 Programação da FPGA Será feita a conexão com a placa através da porta paralela do PC e o impact irá mostrar a tela da figura 23, após detectar os dois componentes Xilinx da placa. Caso aconteça algum erro na conexão com a placa e o PC, basta conectar os cabos, ligar a fonte da placa e acionar a opção :File- Initialize Chain.

21 20/51 Fig. 24 Escolha do Arquivo de Programação da FPGA Nesta tela basta selecionar o arquivo porta_e.bit e clicar em <Abrir>. Se aparecer uma mensagem de aviso (Warning...), basta clicar em <OK>. Na próxima janela basta clicar em Cancelar, pois não será programado nenhum arquivo na memória PROM existente na placa. Este é o segundo chip que aparece na tela (xcf02s). Para configurar a FPGA basta clicar com o botão direito sobre o componente XC3S400 mostrado no impact e escolher a opção Program :

22 21/51 Fig Programação da FPGA Será aberta uma janela denominada Programming Properties. Basta certificar-se que a opção Verify não está selecionada e clicar em <OK>: Fig. 26 Opções de Programação da FPGA Após este procedimento aparecerá uma janela com o progresso da gravação do FPGA e no final indicará se gravou corretamente:

23 22/51 Fig. 27 Confirmação de Programação da FPGA Após a programação da FPGA basta fechar a janela do impact. Se aparecer a mensagem abaixo, basta clicar em <Não>: Fig. 28 Mensagem da Ferramenta impact A partir deste instante o projeto pode ser testado na placa, utilizando-se as chaves SW7 e SW6 como entradas da porta E e o LED7 como saída da mesma: Fig. 29 Porta E implementada na placa

24 23/51 4 Experiências 4.1 Contador Bidirecional (2 pontos) O objetivo da 1 a experiência é implementar um contador binário descrito em VHDL e simular o mesmo utilizando a ferramenta ISE da Xilinx. O roteiro para a execução da experiência é o seguinte: a) abrir o Project Navigator (Start Programs Xilinx ISE 7.1i Project Navigator b) selecionar File New Project; c) Na janela New Project, escolher o diretório de trabalho (Project Location), o nome do projeto (CONT_VHDL) e o tipo de arqivo do módulo principal (Top-Level Module Type). O tipo de arquivo é HDL. No diretório de trabalho será criado um subdiretório com o nome do projeto escolhido; d) Clicar em <avançar> e) Selecionar o dispositivo e o tipo de projeto Family (família de FPGA): Spartan-3 Device (código do componente): xc3s400 Package (encapsulamento): ft256 Speed Grade (velocidade da FPGA): -4 Top-Level Module Type (tipo de descrição do módulo mais alto da hierarquia): HDL Synthesis Tool (ferramenta de síntese): XST(VHDL/Verilog) Simulator (ferramenta de simulação): ISE Simulator (VHDL/Verilog) f) Clicar em <avançar>; g) Clicar na opção New Source h) Na opção Create New Source, selecionar a opção VHDL Module e colocar o nome do arquivo igual a cont_vhdl, deixando a opção Add to project selecionada. i) Clicar em <avançar> j) Na opção Define VHDL Source, no campo Entity Name colocar contador. No campo Architecture Name colocar contador_arq. Esta janela possui uma tabela que nos permite definir os sinais externos de entrada e saída do nosso módulo. Basta preencher a mesma de acordo com a tabela abaixo. Port Name Direction MSB LSB clock in direcao in reset in saida inout 3 0 k) Clicar em <avançar> e <concluir>. l) Na opção Create New Source novamente, clicar em <avançar>. m) Na opção Add Existing Source, clicar em <avançar>. n) Na janela New Project Information, verificar se as opções descritas estão corretas e clicar em <Concluir>

25 Após o procedimento acima, na janela do Project Navigator denominada Sources in Project aparecerá o arquivo cont_vhdl.vhd, logo abaixo do nome da FPGA escolhida anteriormente. Este arquivo com a descrição VHDL modelo será aberto automaticamente. Neste arquivo está faltando apenas descrever o funcionamento do nosso contador, após a palavra begin, que corresponde ao início da descrição da arquitetura do módulo contador. O contador deverá funcionar de acordo com o número de clocks recebidos, através de uma contagem progressiva se o sinal direcao for igual a 1 e regressiva se o sinal for igual a 0. Para tanto, basta acrescentar o seguinte processo: process (clock) begin if reset='1' then saida <= 0000 ; elsif clock='1' and clock'event then if direcao='1' then saida <= saida + 1; else saida <= saida - 1; end if; end if; end process; 24/ Implementação e Simulação (1 ponto) Para implementar o projeto através do ISE, basta escolher o arquivo que descreve o mesmo na janela Sources in Project e depois clicar duas vezes no processo chamado Implement Design, na janela Process for Source o Exercício: Simular o contador descrito em VHDL, fazendo o mesmo contar progressivamente até 10 em decimal e voltar a contagem para 5. 4 o Exercício: a) atribuir a pinagem do SD de acordo com a tabela abaixo: b) implementar o SD; SINAL PINO Placa clock M13 BTN0 direcao K13 SW7 reset F12 SW0 saida<0> K12 LED0 saida<1> P14 LED1 saida<2> L12 LED2 saida<3> N14 LED3

26 c) arquivar o projeto para ser utilizado na próxima aula. Selecionar a opção Project Archive, escolher o diretório e nome do arquivo e clicar em <Save>. Após este procedimento, salvar o arquivo *.ZIP para ser utilizado na próxima aula Download (1 ponto) A segunda parte da primeira experiência consiste na programação da placa didática da Xilinx com o projeto desenvolvido na primeira parte. a) Descompactar o arquivo gerado na aula anterior em um diretório livre da área de alunos; b) Abrir o arquivo *.ISE através da ferramenta ISE; c) Implementar o projeto, selecionando o arquivo que descreve o mesmo na janela Sources in Project e depois clicar duas vezes no processo chamado Implement Design, na janela Process for Source.... d) Conectar a placa da Xilinx ao PC através do cabo de programação; e) Conectar a fonte de alimentação à placa da Xilinx; f) Utilizando o procedimento descrito no item 3.5, programar a placa da Xilinx; Testar o contador através da chave SW7 (DIREÇÃO), gerando o clock através da chave BT0 (CLOCK), observando a contagem nos LEDs; 25/51

27 26/ Máquina de Estados em VHDL (1 ponto) Nesta experiência serão implementadas duas máquinas de estado em VHDL, com as respectivas simulações. a) abrir o Project Navigator (Start Programs Xilinx ISE 8.1i Project Navigator b) selecionar File New Project. c) Na janela New Project, escolher o diretório de trabalho (Project Location), o nome do projeto (MAQ_EST_VHDL) e o tipo de arquivo do módulo principal (Top-Level Module Type). O tipo de arquivo é HDL. No diretório de trabalho será criado um subdiretório com o nome do projeto escolhido; d) Clicar em <avançar> e) Selecionar o dispositivo e o tipo de projeto Family (família de FPGA): Spartan-3 Device (código do componente): xc3s400 Package (encapsulamento): ft256 Speed Grade (velocidade da FPGA): -4 Top-Level Module Type (tipo de descrição do módulo mais alto da hierarquia): HDL Synthesis Tool (ferramenta de síntese): XST(VHDL/Verilog) Simulator (ferramenta de simulação): ISE Simulator (VHDL/Verilog) f) Clicar em <avançar>. g) Clicar na opção New Source h) Na opção Create New Source, selecionar a opção VHDL Module e colocar o nome do arquivo igual a maq_est_vhdl, deixando a opção Add to project selecionada. i) Clicar em <avançar> j) Na opção Define VHDL Source, no campo Entity Name colocar maq_estados. No campo Architecture Name colocar maq_estados_arq. Esta janela possui uma tabela que nos permite definir os sinais externos de entrada e saída do nosso módulo. Basta preencher a mesma de acordo com a tabela abaixo. Port Name Direction MSB LSB clock in entrada in saida out k) Clicar em <avançar> e <concluir>. l) Na opção Create New Source novamente, clicar em <avançar>. m) Na opção Add Existing Source, clicar em <avançar>. n) Na janela New Project Information, verificar se as opções descritas estão corretas e clicar em <Concluir> Após o procedimento acima, na janela do Project Navigator denominada Sources in Project aparecerá o arquivo maq_est_vhdl.vhd, logo abaixo do nome da FPGA escolhida anteriormente. Este arquivo com a descrição VHDL modelo será aberto automaticamente.

28 A descrição da arquitetura da entidade que implementa a máquina de estados encontra-se abaixo. Basta copiá-la no lugar da arquitetura gerada automaticamente pelo ISE. architecture maq_estados_arq of maq_estados is type TIPO_NOVO is (UM, TRES, QUATRO, CINCO, SEIS, SETE); signal ESTADO: TIPO_NOVO; begin process (clock) begin if clock'event and clock = '1' then case ESTADO is when UM => if ENTRADA = '0' then ESTADO <= SEIS; SAIDA <= '0'; else ESTADO <= TRES; SAIDA <= '1'; end if; when TRES => ESTADO <= CINCO; SAIDA <= '0'; when QUATRO => ESTADO <= TRES; SAIDA <= '1'; when CINCO => ESTADO <= SETE; SAIDA <= '0'; when SEIS => ESTADO <= CINCO; SAIDA <= '0'; when SETE => ESTADO <= QUATRO; SAIDA <= '0'; end case; end if; end process; end maq_estados_arq; Para implementar o projeto através do ISE, basta escolher o arquivo que descreve o mesmo na janela Sources in Project e depois clicar duas vezes no processo chamado Implement Design, na janela Process for Source.... Para a simulação desta máquina de estados será escolhida a opção Simulação Comportamental ( Simulate Behavioral Model ). Nesta simulação o ISE não sintetiza o sistema, apenas fornece o comportamento do mesmo obtido da descrição em VHDL. A simulação comportamental é realizada da seguinte maneira: a) selecionar o arquivo maq_est_vhdl na janela Sources in Project b) Selecionar Project New Source. Na janela New Source, selecionar o tipo Test Bench Waveform e colocar como nome do arquivo maq_est_tb. c) Clicar em <avançar> 2 vezes. d) Clicar em <Concluir> e) Na janela Initialize Timing clicar em <Concluir>. f) Selecionar Test Bench Set End of Test Bench e mudar o valor para 3000 ns, clicando em <OK>. 27/51

29 28/51 g) Salvar o arquivo de Test Bench ( File Save ). Será mostrada na tela a janela com as formas de onda abaixo: Fig. 30 Simulação da Máquina de Estados Para rodar a simulação comportamental da máquina de estados basta escolher o arquivo maq_est_tb.tbw na janela Sources e clicar duas vezes no processo Simulate Behavioral Model na janela Process for Source: maq_est_tb : 1 o ) Selecionar o arquivo TBW 2 o ) Clicar duas vezes em Simulate Behavioral Model Fig. 31 Simulação da Máquina de Estados

30 29/51 Será mostrada na tela a simulação, conforme a figura abaixo: Fig. 32 Simulação da Máquina de Estados Como a variável de estado é um sinal interno ao projeto, para visualizá-la na tela do ISIM é necessário seguir os passos abaixo: a) Abrir a hierarquia dos elementos da simulação (clicando no botão com o sinal + ) até visualizar a variável Estado, selecionando a mesma; 1 o ) Selecionar o arquivo TBW 2 o ) Selecionar a variável estado Fig. 33 Simulação da Máquina de Estados

31 30/51 b) Arrastar a mesma até a tela de formas de onda; Fig. 34 Simulação da Máquina de Estados Para que o sinal estado seja atualizado é necessário reiniciar a simulação e rodá-la novamente. Isto é feito da maneira descrita em seguida. a) Clique no botão responsável pela reinicialização:

32 31/51 Fig. 35 Reinicialização da Simulação da Máquina de Estados b) Clicar no botão de simulação: Fig. 36 Simulação da Máquina de Estados Aparecerá na tela a janela com a simulação da máquina de estados, agora mostrando a variável de estado sendo atualizada: Fig. 37 Simulação com a Variável de Estado 5 o Exercício: Mudar o valor da entrada para um, simular a máquina de estados novamente (incluindo a variável de estado) e mostrar para o mestre. 6 o Exercício: Modificar o arquivo VHDL anterior para descrever a máquina de estados abaixo, simulando a mesma, e mostrar para o mestre. Observar que a máquina possui duas entradas, denominadas B1 e B2.

33 32/51 : Fig. 38 Máquina de Estados do Exercício 5

34 33/ Matrícula no Display (3 pontos) Projetar uma máquina de estados que siga a seqüência do seu número de matrícula, reaproveitando os estados com algarismos já definidos, sendo que uma variável de entrada deve selecionar qual caminho os estados reaproveitados devem seguir, como no exemplo abaixo: Número do aluno: Fig. 39 Máquina de Estados do número de matrícula Para apresentar o número do aluno no display, deve-se percorrer os seguintes estados: E0 E1 E2 E1 E3 E4 E5 E1 E5 E6. O estado inicial E0 é alcançado em qualquer momento pelo sinal de RESET em nível alto. A saída deve ser projetada como sete sinais independentes, que mostrarão o número representado pelo estado. No estado E0, apenas o segmento g do display deve estar acesso. Considerar que os segmentos do display acendem em nível lógico 0. O clock da máquina de estados será gerado a partir de duas chaves tipo push-button conectadas a um flip-flop tipo SR, com reset. A figura abaixo ilustra o flip-flop e sua implementação em VHDL:

35 34/51 REALIM <= CLK NOR ST ; CLK <= not (RST OR RESET OR REALIM); Fig. 40 Flip-Flop em VHDL O código VHDL que implementa a máquina de estados da figura 39 é o seguinte: entity Maqui_Est_VHDL is Port ( ST : in STD_LOGIC; RST : in STD_LOGIC; RESET : in STD_LOGIC; DIP : in STD_LOGIC_VECTOR (2 downto 0); CLK : inout STD_LOGIC; REALIM : inout STD_LOGIC; A : out STD_LOGIC; B : out STD_LOGIC; C : out STD_LOGIC; D : out STD_LOGIC; E : out STD_LOGIC; F : out STD_LOGIC; G : out STD_LOGIC); end Maqui_Est_VHDL; architecture Behavioral of Maqui_Est_VHDL is TYPE TIPO_NOVO IS(E0, E1, E2, E3, E4, E5, E6); SIGNAL ESTADO: TIPO_NOVO; BEGIN REALIM <= CLK NOR ST ; CLK <= not (RST OR RESET OR REALIM); PROCESS (RESET, CLK) BEGIN IF RESET = '1' THEN

36 35/51 ESTADO <= E0; A <= '1'; B <= '1'; C <= '1'; D <= '1'; E <= '1'; F <= '1'; G <= '0'; ELSIF (CLK'EVENT AND CLK = '1') THEN CASE ESTADO IS WHEN E0 => ESTADO <= E1 ; A <= '1'; B <= '0'; C <= '0'; D <= '1'; E <= '1'; F <= '1'; G <= '1'; WHEN E1 => IF DIP = "000" THEN ESTADO <= E2; A <= '0'; B <= '0'; C <= '0'; D <= '0'; E <= '0'; F <= '0'; G <= '1'; ELSIF DIP = "001" THEN ESTADO <= E3; A <= '1'; B <= '0'; C <= '0'; D <= '1'; E <= '1'; F <= '0'; G <= '0'; ELSIF DIP = "010" THEN ESTADO <= E5; A <= '0'; B <= '1'; C <= '0'; D <= '0'; E <= '1'; F <= '0'; G <= '0'; END IF; WHEN E2 => ESTADO <= E1 ; A <= '1'; B <= '0'; C <= '0'; D <= '1'; E <= '1'; F <= '1'; G <= '1'; WHEN E3 => ESTADO <= E4 ;

37 36/51 A <= '0'; B <= '0'; C <= '1'; D <= '0'; E <= '0'; F <= '1'; G <= '0'; WHEN E4 => ESTADO <= E5 ; A <= '0'; B <= '1'; C <= '0'; D <= '0'; E <= '1'; F <= '0'; G <= '0'; WHEN E5 => IF DIP = "000" THEN ESTADO <= E1; A <= '1'; B <= '0'; C <= '0'; D <= '1'; E <= '1'; F <= '1'; G <= '1'; ELSIF DIP = "001" THEN ESTADO <= E6; A <= '0'; B <= '0'; C <= '0'; D <= '0'; E <= '1'; F <= '1'; G <= '0'; END IF; WHEN E6 => ESTADO <= E1; A <= '1'; B <= '0'; C <= '0'; D <= '1'; E <= '1'; F <= '1'; G <= '1'; END CASE; END IF; END PROCESS; end Behavioral;

38 37/ Implementação e Simulação (1 ponto) Modificar a máquina de estados do exemplo para que a mesma apresente o número de matrícula do aluno. Codificar a Máquina de estados em VHDL (utilizando o exemplo como ponto de partida) e simular no ISE XILINX durante a aula de desenvolvimento Download (2 pontos) Na aula de avaliação, fazer o download do projeto e mostrar ao professor.

39 38/ Simulação de Redes de Petri em VHDL (1 ponto) Nesta experiência será implementada uma Rede de Petri em VHDL, com a respectiva simulação. A rede a ser implementada pode ser vista na figura abaixo: E 0 t 0 A E 1 LED0 t 1 B t 3 C E 2 LED0, LED1 E 3 LED2 t 2 A ou B t 4 Fig. 41 Exemplo de Rede de Petri 6 o Exercício: Obter as equações dos lugares e das saídas da rede acima. Para implementar a rede de Petri em VHDL deve ser seguido o procedimento abaixo: a) abrir o Project Navigator (Start Programs Xilinx ISE 8.1i Project Navigator); b) selecionar File New Project; c) na janela New Project, escolher o diretório de trabalho (Project Location), o nome do projeto (REDE_VHDL) e o tipo de arquivo do módulo principal (Top-Level Module

40 39/51 Type). O tipo de arquivo é HDL. No diretório de trabalho será criado um subdiretório com o nome do projeto escolhido; d) clicar em <avançar>; e) selecionar o dispositivo e o tipo de projeto Family (família de FPGA): Spartan-3 Device (código do componente): xc3s400 Package (encapsulamento): ft256 Speed Grade (velocidade da FPGA): -4 Top-Level Module Type (tipo de descrição do módulo mais alto da hierarquia): HDL Synthesis Tool (ferramenta de síntese): XST(VHDL/Verilog) Simulator (ferramenta de simulação): ISE Simulator (VHDL/Verilog) f) clicar em <avançar>; g) clicar na opção New Source ; h) na opção Create New Source, selecionar a opção VHDL Module e colocar o nome do arquivo igual a rede_vhdl, deixando a opção Add to project selecionada; i) clicar em <avançar>; j) na opção Define VHDL Source, no campo Entity Name colocar rede_petri. No campo Architecture Name colocar rede_petri_arq. Esta janela possui uma tabela que nos permite definir os sinais externos de entrada e saída do nosso módulo. Basta preencher a mesma de acordo com a tabela abaixo; Port Name Direction MSB LSB clk in A in B in C in Reset in LED0 out LED1 out LED2 out k) clicar em <avançar> e <concluir>; l) na opção Create New Source novamente, clicar em <avançar>; m) na opção Add Existing Source, clicar em <avançar>; n) na janela New Project Information, verificar se as opções descritas estão corretas e clicar em <Concluir>; Após o procedimento acima, na janela do Project Navigator denominada Sources in Project aparecerá o arquivo rede_vhdl.vhd, logo abaixo do nome da FPGA escolhida anteriormente. Este arquivo com a descrição VHDL modelo será aberto automaticamente. A descrição da arquitetura da entidade que implementa a rede encontra-se abaixo. Basta copiála no lugar da arquitetura gerada automaticamente pelo ISE. ARCHITECTURE rede_petri_arq OF rede_petri IS SIGNAL E: std_logic_vector (3 downto 0); BEGIN

41 40/51 process (CLK,RESET) begin if RESET = '1' then E <= "0001"; elsif CLK'EVENT AND CLK = '1' THEN end if; end process; E(0) <= (E(0) AND NOT A) OR (E(2) AND (A OR B)); E(1) <= (E(0) AND A) OR (E(1) AND NOT B AND NOT C); E(2) <= (E(1) AND B) OR (E(2) AND NOT (A OR B)); E(3) <= (E(1) AND C) OR E(3); LED0 <= E(1) OR E(2); LED1 <= E(2); LED2 <= E(3); END rede_petri_arq; Para sintetizar o projeto através do ISE, basta salvar o arquivo VHDL e depois clicar duas vezes no processo chamado Synthesize - XST, na janela Processes:. Para a simulação desta rede será escolhida a opção Simulação Comportamental ( Simulate Behavioral Model ). Nesta simulação o ISE não implementa o sistema totalmente, apenas sintetiza e simula o comportamento do mesmo, obtido da descrição em VHDL. A simulação comportamental é realizada da seguinte maneira: a) selecionar o arquivo rede_vhdl na janela Sources for: ; b) selecionar Project New Source. Na janela New Source, selecionar o tipo Test Bench Waveform e colocar como nome do arquivo rede_vhdl_tb ; c) clicar em <avançar> 2 vezes; d) clicar em <Concluir>; e) na janela Initialize Timing clicar em <Concluir>; f) selecionar Test Bench Set End of Test Bench e mudar o valor para 3000 ns, clicando em <OK>; g) salvar o arquivo de Test Bench ( File Save ). Será mostrada na tela a janela com as formas de onda abaixo:

42 41/51 Fig. 42 Simulação da Rede de Petri (formas de onda) Para rodar a simulação comportamental da rede de Petri basta escolher o arquivo rede_vhdl_tb.tbw na janela Sources for: (não esquecendo de definir o tipo de fontes como Behavioral Simulation ) e clicar duas vezes no processo Simulate Behavioral Model na janela Processes: :

43 42/51 1 o ) Selecionar o arquivo TBW 2 o ) Clicar duas vezes em Simulate Behavioral Model Fig. 43 Simulação da Rede de Petri (comandos) Será mostrada na tela a simulação, conforme a figura abaixo: Fig. 44 Simulação da Rede de Petri (resultado final)

44 43/51 Para mostrar os lugares da rede na simulação, basta seguir os passos abaixo: a) abrir a hierarquia dos elementos da simulação (clicando no botão com o sinal + ) até visualizar a variável e[3:0], selecionando a mesma; 1 o ) Selecionar o arquivo TBW 2 o ) Selecionar a variável estado Fig. 45 Simulação da Rede de Petri (escolha dos lugares) c) Arrastar a mesma até a tela de formas de onda;

45 44/51 Fig. 46 Simulação da Rede de Petri (visualização dos lugares) Para que a variável e[3:0] seja atualizado é necessário reiniciar a simulação e rodá-la novamente. Isto é feito da maneira descrita em seguida. c) Clique no botão responsável pela reinicialização:

46 45/51 Fig. 47 Reinicialização da Simulação da Rede de Petri d) Clicar no botão de simulação: Fig. 48 Rodando a Simulação da Rede de Petri Aparecerá na tela a janela com a simulação da rede, agora mostrando o número de marcas em cada lugar:

47 46/51 Fig. 49 Simulação incluindo os lugares da rede No exemplo acima temos apenas uma marca no lugar E[0], pois acionamos o reset e não acionamos o sinal A, que dispararia a primeira transição. 5 o Exercício: Simular a rede de Petri, acionando os sinais A, B e C, verificando se a marca passa de um lugar a outro, na ordem correta. Verifique também se as saídas são acionadas corretamente. 5 Bibliografia Apostila de laboratório utilizada na PUC-SP. Manuais da Xilinx

48 47/51 ANEXO A Software ISE WebPack Para instalar a versão gratuita do software ISE, utilizar o link abaixo: Tamanho do arquivo ~ 760 MB Qualquer dúvida, entrar em contato com o Prof. Edson Horta, preferencialmente por edson-horta@ieee.org OBS: Deve ser instalada a versão 8.1i do ISE OBS2: No CLE estão disponíveis dois CDs com os arquivos de instalação do ISE 8.1i.

49 48/51 ANEXO B Placa Didática (Spartan3 Starter Kit) Este manual apresenta um resumo das características da placa didática da Xilinx. Para obter o manual original, basta acessar o link: A placa é constituída por uma FPGA da família Spartan-3 e alguns periféricos conectados à mesma, como pode ser visto na figura B1. Fig. B1 Placa Didática Spartan 3 Starter Kit

50 49/51 Cada um dos componentes numerados na figura anterior está posicionado fisicamente na placa, de acordo com as figuras B2 e B3. Fig. B2 Placa Didática (Frente) Fig. B3 Placa Didática (Verso)

51 50/51 Nas experiências deste laboratório serão utilizados principalmente os displays de 7 segmentos, as chaves deslizantes, as chaves tipo push button e os LEDs. Os displays estão conectados aos pinos da FPGA da maneira mostrada na figura 4 (os valores entre parênteses são as coordenadas dos pinos da FPGA). Os segmentos de cada display e o ponto decimal estão ligados em paralelo e, para acioná-los basta alimentá-los com nível 0 de tensão. Cada um dos displays só irá acender se o seu pino de anodo estiver com nível 0. Desta maneira, são necessários apenas 12 pinos para controlar os 4 displays. Caso fosse conectado cada um dos segmentos separadamente, seriam necessários 32 pinos. A desvantagem desta arquitetura é que, para mostrar os quatro displays com valores diferentes é necessário fazer uma varredura nos anodos e nos segmentos, adotando-se uma freqüência que torna imperceptível ao olho humano verificar que os displays estão sendo controlados separadamente. O exemplo da figura B4 mostra como seria feito para escrever o número 2 no primeiro display da esquerda. Fig. B4 Placa Didática (LEDs) As chaves deslizantes que se encontram abaixo do display estão conectadas aos seguintes pinos da FPGA: Na posição ON a chave gera um nível lógico 1 na sua saída e, caso contrário, gera um nível lógico 0.

52 As chaves tipo push button ficam ao lado das chaves deslizantes. Cada uma delas está ligada aos seguintes pinos: 51/51 Quando a chave é pressionada gera-se um nível 1 no pino correspondente da FPGA. A chave BTN3 geralmente é utilizada para o sinal de Reset do sistema. Existem 8 LEDs disponíveis na placa e cada um deles está conectado aos seguintes pinos: Para acender o LED basta colocar um nível lógico 1 na entrada do mesmo. Na placa também existe um oscilador que fornece um clock de 50 MHz, conectado ao pino T9 da FPGA e um soquete que pode ser utilizado para a colocação de outros osciladores, conectado ao pino D9. Para configurar a FPGA através do software impact é necessário conectar o cabo de programação à porta paralela do PC e à placa didática, conforme mostrado na figura B5. Fig. B5 Conector JTAG

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista EXPERIMENTO 1 INTRODUÇÃO AO LABORATÓRIO A. Introdução O Quartus II é um software utilizado para

Leia mais

Programação de Robótica: Modo Circuitos Programados - Avançado -

Programação de Robótica: Modo Circuitos Programados - Avançado - Programação de Robótica: Modo Circuitos Programados - Avançado - 1 Programação de Robótica: Modo Circuitos Programados - Avançado ATENÇÃO Lembramos que você poderá consultar o Manual de Referência do Software

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f Monitor de Rede Elétrica Som Maior Pro Manual do Usuário Versão 3.9f 2 ÍNDICE PÁG. 1 APRESENTAÇÃO...03 2 DESCRIÇÃO DO EQUIPAMENTO...04 2.1 ROTINA INICIAL DE AVALIAÇÃO DA REDE ELÉTRICA...04 2.2 TROCA DE

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

MANUAL DO USUÁRIO SORE Sistema Online de Reservas de Equipamento. Toledo PR. Versão 2.0 - Atualização 26/01/2009 Depto de TI - FASUL Página 1

MANUAL DO USUÁRIO SORE Sistema Online de Reservas de Equipamento. Toledo PR. Versão 2.0 - Atualização 26/01/2009 Depto de TI - FASUL Página 1 MANUAL DO USUÁRIO SORE Sistema Online de Reservas de Equipamento Toledo PR Página 1 INDICE 1. O QUE É O SORE...3 2. COMO ACESSAR O SORE... 4 2.1. Obtendo um Usuário e Senha... 4 2.2. Acessando o SORE pelo

Leia mais

Manual HPSim. 1 Introdução. 2 Instalação do Programa

Manual HPSim. 1 Introdução. 2 Instalação do Programa Manual HPSim 1 Introdução O HPSim é um software para simulação de redes de Petri que apresenta uma interface intuitiva de fácil utilização. Entre suas vantagens está a possibilidade do acompanhamento da

Leia mais

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Portas Lógicas Básicas: Parte 2 Simulação Lógica Laboratório 1 - continuação Portas Lógicas Básicas: Parte 2 Simulação Lógica 5. Introdução à Simulação Lógica Neste laboratório, você tomará contato com a ferramenta de projeto digital Max+Plus II, da

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

COMO INSTALAR O CATÁLOGO

COMO INSTALAR O CATÁLOGO Este guia tem por finalidade detalhar as etapas de instalação do catálogo e assume que o arquivo de instalação já foi baixado de nosso site. Caso não tenho sido feita a etapa anterior favor consultar o

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

MANUAL DE UTILIZAÇÃO

MANUAL DE UTILIZAÇÃO MANUAL DE UTILIZAÇÃO Módulo de operação Ativo Bem vindo à Vorage CRM! Nas próximas paginas apresentaremos o funcionamento da plataforma e ensinaremos como iniciar uma operação básica através do nosso sistema,

Leia mais

Manual Equipamento ST10 Flasher Rev. 1

Manual Equipamento ST10 Flasher Rev. 1 Maio de 2014 2 Sumário Introdução:... 3 Acessórios utilizados:... 4 Instalação:... 5 Abrindo e Conhecendo o Software:... 10 SET PORT... 11 RELOAD MONITOR... 13 BlankCheck... 14 ERASE FLASH... 14 DUMP...

Leia mais

Manual de Instalação. SafeSign Standard 3.0.77. (Para MAC OS 10.7)

Manual de Instalação. SafeSign Standard 3.0.77. (Para MAC OS 10.7) SafeSign Standard 3.0.77 (Para MAC OS 10.7) 2/23 Sumário 1 Introdução... 3 2 Pré-Requisitos Para Instalação... 3 3 Ambientes Homologados... 4 4 Hardware Homologado... 4 5 Instruções de Instalação... 5

Leia mais

Manual do Aplicativo NSE Mobile Control

Manual do Aplicativo NSE Mobile Control INSTALAÇÃO DO APLICATIVO Acesse www.nse.com.br/downloads-manuais e baixe os programas de acordo com o dispositivo que irá utilizar, nos links referentes ao produto número 1 - Módulo MTCP-3E4S. - Para uso

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Comunicação Serial com o AVR ATMEGA8

Comunicação Serial com o AVR ATMEGA8 Comunicação Serial com o AVR ATMEGA8 Vitor Amadeu Souza vitor@cerne-tec.com.br Introdução Os microcontroladores AVR vem a cada dia tomando cada vez mais espaço nos novos projetos eletrônicos microcontrolados.

Leia mais

Manual de Instalação EDIÇÃO 1.0

Manual de Instalação EDIÇÃO 1.0 Editor Rápido ipldk Manual de Instalação EDIÇÃO 1.0 HISTÓRICO DE REVISÃO Edição Data Descrição das Alterações Versão S/W Versão MPB Edição 1 Out/2006 Lançamento Inicial C.7Aa ~ C.7Aa - i - Conteúdo 1.

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

1 REQUISITOS BÁSICOS PARA INSTALAR O SMS PC REMOTO

1 REQUISITOS BÁSICOS PARA INSTALAR O SMS PC REMOTO 1 ÍNDICE 1 REQUISITOS BÁSICOS PARA INSTALAR O SMS PC REMOTO... 3 1.1 REQUISITOS BASICOS DE SOFTWARE... 3 1.2 REQUISITOS BASICOS DE HARDWARE... 3 2 EXECUTANDO O INSTALADOR... 3 2.1 PASSO 01... 3 2.2 PASSO

Leia mais

Comm5 Tecnologia Manual de utilização da família MI. Manual de Utilização. Família MI

Comm5 Tecnologia Manual de utilização da família MI. Manual de Utilização. Família MI Manual de Utilização Família MI ÍNDICE 1.0 COMO LIGAR O MÓDULO... pág 03 e 04 2.0 OBJETIVO... pág 05 3.0 COMO CONFIGURAR O MÓDULO MI... pág 06, 07, 08 e 09 4.0 COMO TESTAR A REDE... pág 10 5.0 COMO CONFIGURAR

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Revisão: Introdução. - Integração com o AutoManager; 1 Atualização de versão do banco de dados PostgreSQL

Revisão: Introdução. - Integração com o AutoManager; 1 Atualização de versão do banco de dados PostgreSQL Urano Indústria de Balanças e Equipamentos Eletrônicos Ltda. Rua Irmão Pedro 709 Vila Rosa Canoas RS Fone: (51) 3462.8700 Fax: (51) 3477.4441 Características do Software Urano Integra 2.2 Data: 12/05/2014

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Manual de utilização do módulo NSE METH-8RL/Exp

Manual de utilização do módulo NSE METH-8RL/Exp INSTALAÇÃO 1 - Baixe o arquivo Software Configurador Ethernet disponível para download em www.nse.com.br/downloads-manuais e descompacte-o em qualquer pasta de sua preferência. 2 - Conecte a fonte 12Vcc/1A

Leia mais

Aula 03 PowerPoint 2007

Aula 03 PowerPoint 2007 Aula 03 PowerPoint 2007 Professor: Bruno Gomes Disciplina: Informática Básica Curso: Gestão de Turismo Sumário da aula: 1. Abrindo o PowerPoint; 2. Conhecendo a Tela do PowerPoint; 3. Criando uma Nova

Leia mais

STK (Start Kit DARUMA) Utilizando conversor Serial/Ethernet com Mini-Impressora DR600/DR700.

STK (Start Kit DARUMA) Utilizando conversor Serial/Ethernet com Mini-Impressora DR600/DR700. STK (Start Kit DARUMA) Utilizando conversor Serial/Ethernet com Mini-Impressora DR600/DR700. Neste STK mostraremos como comunicar com o conversor Serial/Ethernet e instalar o driver Genérico Somente Texto

Leia mais

MANUAL DE INSTALAÇÃO 1) ORACLE VIRTUALBOX ; 2) MICROSOFT WINDOWS ; 3) SUMÁRIOS GENEPLUS.

MANUAL DE INSTALAÇÃO 1) ORACLE VIRTUALBOX ; 2) MICROSOFT WINDOWS ; 3) SUMÁRIOS GENEPLUS. PROGRAMA EMBRAPA DE MELHORAMENTO DE GADO DE CORTE MANUAL DE INSTALAÇÃO 1) ORACLE VIRTUALBOX ; 2) MICROSOFT WINDOWS ; 3) SUMÁRIOS GENEPLUS. MANUAL DE INSTALAÇÃO: 1) ORACLE VIRTUALBOX ; 2) MICROSOFT WINDOWS

Leia mais

Manual do Usuário. Tag List. Tag List Generator. smar FIRST IN FIELDBUS JUL / 02. Tag-List VERSÃO 1.0 TAGLSTC3MP

Manual do Usuário. Tag List. Tag List Generator. smar FIRST IN FIELDBUS JUL / 02. Tag-List VERSÃO 1.0 TAGLSTC3MP Tag List Tag List Generator Manual do Usuário smar FIRST IN FIELDBUS JUL / 02 Tag-List VERSÃO 1.0 TAGLSTC3MP www.smar.com.br Especificações e informações estão sujeitas a modificações sem prévia consulta.

Leia mais

MANUAL DO USUÁRIO. AssetView FDT. AssetView FDT

MANUAL DO USUÁRIO. AssetView FDT. AssetView FDT MANUAL DO USUÁRIO AssetView FDT AssetView FDT A S T V W F D T M P www.smar.com.br Especificações e informações estão sujeitas a modificações sem prévia consulta. Informações atualizadas dos endereços estão

Leia mais

Follow-Up Acompanhamento Eletrônico de Processos (versão 3.0) Manual do Sistema. 1. Como acessar o sistema Requisitos mínimos e compatibilidade

Follow-Up Acompanhamento Eletrônico de Processos (versão 3.0) Manual do Sistema. 1. Como acessar o sistema Requisitos mínimos e compatibilidade do Sistema Índice Página 1. Como acessar o sistema 1.1 Requisitos mínimos e compatibilidade 03 2. Como configurar o Sistema 2.1 Painel de Controle 2.2 Informando o nome da Comissária 2.3 Escolhendo a Cor

Leia mais

Status. Barra de Título. Barra de Menu. Barra de. Ferramentas Padrão. Caixa de nomes. Barra de. Ferramentas de Formatação. Indicadores de Coluna

Status. Barra de Título. Barra de Menu. Barra de. Ferramentas Padrão. Caixa de nomes. Barra de. Ferramentas de Formatação. Indicadores de Coluna O que é uma planilha eletrônica? É um aplicativo que oferece recursos para manipular dados organizados em tabelas. A partir deles pode-se gerar gráficos facilitando a análise e interpretação dos dados

Leia mais

Atualizaça o do Maker

Atualizaça o do Maker Atualizaça o do Maker Prezados Clientes, Nós da Playlist Software Solutions empresa líder de mercado no desenvolvimento de software para automação de rádios - primamos pela qualidade de nossos produtos,

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

Manual de backup do banco de dados PostgreSQL - Versão 2. Setembro-2011

Manual de backup do banco de dados PostgreSQL - Versão 2. Setembro-2011 Manual de backup do banco de dados PostgreSQL - Versão 2 Setembro-2011 E-Sales Oobj Tecnologia da Informação Av. D, n. 246, Qd. A-04, Lt.02, Ap. 02, Jardim Goiás Goiânia/GO, CEP: 74805-090 Fone: (62) 3086-5750

Leia mais

CONFIGURAÇÃO MINIMA EXIGIDA:

CONFIGURAÇÃO MINIMA EXIGIDA: Este tutorial parte do princípio que seu usuário já possua conhecimentos básicos sobre hardware, sistema operacional Windows XP ou superior, firewall, protocolo de rede TCP/IP e instalação de software.

Leia mais

Manual do Teclado de Satisfação Online WebOpinião

Manual do Teclado de Satisfação Online WebOpinião Manual do Teclado de Satisfação Online WebOpinião Versão 1.2.3 27 de novembro de 2015 Departamento de Engenharia de Produto (DENP) SEAT Sistemas Eletrônicos de Atendimento 1. Introdução O Teclado de Satisfação

Leia mais

Manual Captura S_Line

Manual Captura S_Line Sumário 1. Introdução... 2 2. Configuração Inicial... 2 2.1. Requisitos... 2 2.2. Downloads... 2 2.3. Instalação/Abrir... 3 3. Sistema... 4 3.1. Abrir Usuário... 4 3.2. Nova Senha... 4 3.3. Propriedades

Leia mais

Gerenciador de Conteúdo Magellan 2.0

Gerenciador de Conteúdo Magellan 2.0 Gerenciador de Conteúdo Magellan 2.0 Instalando o Gerenciador de Conteúdo Magellan. 1. Baixe o Gerenciador de Conteúdo Magellan de www.magellangps.com. 2. Dê um duplo clique no arquivo CM_Setup que foi

Leia mais

HCT Compatibilidade Manual do Usuário

HCT Compatibilidade Manual do Usuário HCT Compatibilidade Manual do Usuário Índice Introdução...3 HCT Compatibilidade...3 Librix...3 Execução do Programa...4 Seleção de Testes...4 Testes Manuais...6 Teste de Teclado...6 Teste de Vídeo...7

Leia mais

Usando o simulador MIPS

Usando o simulador MIPS Usando o simulador MIPS O objetivo desta aula prática será a utilização do simulador MipsIt para executar programas escritos em linguagem de máquina do MIPS. 1 Criando um projeto Cada programa a ser executado

Leia mais

MANUAL DE UTILIZAÇÃO SISTEMA DE CADASTRO INTRANET

MANUAL DE UTILIZAÇÃO SISTEMA DE CADASTRO INTRANET MANUAL DE UTILIZAÇÃO SISTEMA DE CADASTRO INTRANET I Sumário 1. Objetivo do Documento... 1 2. Início... 1 3. Cadastro de Pessoa Física... 3 3.1. Preenchimentos Obrigatórios.... 4 3.2. Acesso aos Campos

Leia mais

Manual de configuração do sistema

Manual de configuração do sistema Manual de configuração do sistema (v.1.5.x Beta) Rua México, 119 Sala 2004 Centro Rio de Janeiro, RJ www.doctors-solution.com.br www.simdoctor.com.br contato@simdoctor.com.br Sumário 1. Fazendo seu primeiro

Leia mais

Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR

Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR 1- Conectar a câmera à rede com internet via cabo de rede 2- Conectar a fonte de energia a câmera 3- Aguardar pelo menos

Leia mais

Para começarmos as configurações, primeiramente vamos habilitar o DVR Veicular para o acesso. Clique em Menu e depois entre em Geral.

Para começarmos as configurações, primeiramente vamos habilitar o DVR Veicular para o acesso. Clique em Menu e depois entre em Geral. DVR Veicular Para começarmos as configurações, primeiramente vamos habilitar o DVR Veicular para o acesso. Clique em Menu e depois entre em Geral. Dentro do menu Geral, clique em rede, como mostra a figura.

Leia mais

O Primeiro Programa em Visual Studio.net

O Primeiro Programa em Visual Studio.net O Primeiro Programa em Visual Studio.net Já examinamos o primeiro programa escrito em C que servirá de ponto de partida para todos os demais exemplos e exercícios do curso. Agora, aprenderemos como utilizar

Leia mais

Liner. Manual do Usuário

Liner. Manual do Usuário Liner Manual do Usuário Parabéns, Você acaba de adquirir o Gravador Telefônico Digital Pctel Liner. Líder em seu segmento, disponibiliza a mais alta tecnologia em gravadores telefônicos digitais, convertendo

Leia mais

GERENCIADOR DE IMPORTAÇÃO DE XML

GERENCIADOR DE IMPORTAÇÃO DE XML GERENCIADOR DE IMPORTAÇÃO DE XML A partir da versão 3.4.71.0 do SIAGRI AGRIBUSINESS está disponível o Gerenciador de Importação de XML (SiagriREA). Este aplicativo tem como finalidade gerenciar os arquivos

Leia mais

Testando a Comunicação e Transferindo o Código de Máquina

Testando a Comunicação e Transferindo o Código de Máquina Testando a Comunicação e Transferindo o Código de Máquina Todas as etapas do fluxograma da figura x.x foram realizada no PC. O código de máquina foi criado no PC na pasta Resource. O arquivo a ser transferido

Leia mais

TISSNet Instalando e Configurando Schemas

TISSNet Instalando e Configurando Schemas Objetivos Gerais: Este documento tem por objetivo, instruir os credenciados da C. S. ASSISTANCE no processo de instalação e configuração do aplicativo TISSNet, facilitando desta forma o controle e envio

Leia mais

Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR

Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR Como Conectar e configurar Câmera Wireless FS-IPH02W FullSec -ACESSO VIA CELULAR 1- Conectar a câmera à rede com internet via cabo de rede 2- Conectar a fonte de energia a câmera 3- Aguardar pelo menos

Leia mais

Simulador do Processador Neander T&D-Bench Simulator Manual do Usuário. por Júlio César Maccali. revisado por Prof. Christian Zambenedetti

Simulador do Processador Neander T&D-Bench Simulator Manual do Usuário. por Júlio César Maccali. revisado por Prof. Christian Zambenedetti UNIVERSIDADE DE CAXIAS DO SUL CAMPUS UNIVERSITÁRIO DA REGIÃO DOS VINHEDOS CENTRO DE CIÊNCIAS EXATAS, DA NATUREZA E DE TECNOLOGIA CURSO DE TECNOLOGIA EM PROCESSAMENTO DE DADOS Simulador do Processador Neander

Leia mais

Manual do Sistema "Vida Controle de Contatos" Editorial Brazil Informatica

Manual do Sistema Vida Controle de Contatos Editorial Brazil Informatica Manual do Sistema "Vida Controle de Contatos" Editorial Brazil Informatica I Vida Controle de Contatos Conteúdo Part I Introdução 2 1 Vida Controle... de Contatos Pessoais 2 Part II Configuração 2 1 Configuração...

Leia mais

Instalação: permite baixar o pacote de instalação do agente de coleta do sistema.

Instalação: permite baixar o pacote de instalação do agente de coleta do sistema. O que é o projeto O PROINFODATA - programa de coleta de dados do projeto ProInfo/MEC de inclusão digital nas escolas públicas brasileiras tem como objetivo acompanhar o estado de funcionamento dos laboratórios

Leia mais

Visão Geral sobre o tutorial de Utilização de

Visão Geral sobre o tutorial de Utilização de Tutorial de Utilização de Impressoras Não Fiscais no NFC-e Visão Geral sobre o tutorial de Utilização de Impressoras Não Fiscais no NFC-e O intuito deste tutorial é descrever com detalhes técnicos como

Leia mais

TCI S5-USB. Cabo de Programação STEP5 - USB. Manual de Instalação

TCI S5-USB. Cabo de Programação STEP5 - USB. Manual de Instalação TCI S5-USB Cabo de Programação STEP5 - USB Manual de Instalação O cabo de programação TCI S5-USB foi projetado para atender a necessidade de conectar a uma porta USB do computador a família de PLC s Siemens

Leia mais

PAINEL DE SENHAS RBSG4JE. Imagem ilustrativa do painel. Operação/Configuração Painel Eletrônico de Senhas / Guichê com jornal de mensagens.

PAINEL DE SENHAS RBSG4JE. Imagem ilustrativa do painel. Operação/Configuração Painel Eletrônico de Senhas / Guichê com jornal de mensagens. PAINEL DE SENHAS RBSG4JE Imagem ilustrativa do painel Operação/Configuração Painel Eletrônico de Senhas / Guichê com jornal de mensagens. Há basicamente dois modos de operação no Painel de Senhas: - Operação

Leia mais

1. 1. COMO ACESSAR O CURSO

1. 1. COMO ACESSAR O CURSO 1. 1. COMO ACESSAR O CURSO 1.1. Para ter acesso ao curso, digite: https://www.nec.fct.unesp.br/~teleduc/ 1.2. Abrirá a página a seguir, então, é só posicionar o cursor sobre Cursos: 1.3. Em seguida clique

Leia mais

TUTORIAL DE UTILIZAÇÃO DO PROTEUS

TUTORIAL DE UTILIZAÇÃO DO PROTEUS 1 Para iniciar a edição de um esquema elétrico para posterior simulação, busque no menu Iniciar do Windows, a opção Todos os Programas. Localize a pasta onde foi instalado o Proteus e selecione o programa

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Nota de Aplicação. Exemplo de log de usuários utilizando IHM Magelis e o leitor biometrico XB5S5B2L2. Suporte Técnico Brasil. Versão: 1.

Nota de Aplicação. Exemplo de log de usuários utilizando IHM Magelis e o leitor biometrico XB5S5B2L2. Suporte Técnico Brasil. Versão: 1. Nota de Aplicação Exemplo de log de usuários utilizando IHM Magelis e o leitor biometrico XB5S5B2L2. Versão: 1.0 Suporte Técnico Brasil Especificações técnicas Hardware: Firmware: HMIGTO4310 XB5S5B2L2

Leia mais

ANDROID APPLICATION PROJECT

ANDROID APPLICATION PROJECT Criando um programa Abrindo o programa Eclipse, clique na opção [FILE], depois em [NEW], selecione a opção [PROJECT], uma janela de opção do tipo de projeto irá se abrir, escolha [ANDROID] logo depois

Leia mais

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000 Laboratório de Sistemas Digitais /0 Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 000 OBJETIVO Aprender a utilizar o Editor de Esquemáticos e o Simulador Lógico Criar e simular os esquemáticos

Leia mais

Manual Administrador - Mídia System

Manual Administrador - Mídia System Manual Administrador - Mídia System Logo após cadastrarmos sua Empresa em nosso sistema, será enviado um e-mail confirmando as informações de acesso do Administrador do sistema. Obs: Caso não tenha recebido

Leia mais

STK (Start Kit DARUMA) Primeiro contato com a Impressora Fiscal, a ECF chegou e agora?

STK (Start Kit DARUMA) Primeiro contato com a Impressora Fiscal, a ECF chegou e agora? O que será abordado neste SKT: STK (Start Kit DARUMA) Primeiro contato com a Impressora Fiscal, a ECF chegou e agora? Verificando o papel. Verificando se o ECF está inicializado, caso não esteja como proceder.

Leia mais

Capture Pro Software. Introdução. A-61640_pt-br

Capture Pro Software. Introdução. A-61640_pt-br Capture Pro Software Introdução A-61640_pt-br Introdução ao Kodak Capture Pro Software e Capture Pro Limited Edition Instalando o software: Kodak Capture Pro Software e Network Edition... 1 Instalando

Leia mais

Guia. PDA e SmartPhones. Windows Mobile, Pocket PC e CE.

Guia. PDA e SmartPhones. Windows Mobile, Pocket PC e CE. Guia PDA e SmartPhones Windows Mobile, Pocket PC e CE. Referência completa para o integrador do sistema Module. Aborda os recursos necessários para a itulização, instalação do software e importação das

Leia mais

Table of Contents. PowerPoint XP

Table of Contents. PowerPoint XP Table of Contents Finalizando a apresentação...1 Usando anotações...1 Desfazer e repetir...1 Localizar e substituir...2 Substituir...2 Efeitos de transição...3 Esquema de animação...6 Controlando os tempos

Leia mais

SECRETARIA DE ESTADO DA FAZENDA. Documento de Arrecadação Estadual DAE. Manual do Usuário. Versão 1.0.0 SECRETARIA DE ESTADO DA FAZENDA

SECRETARIA DE ESTADO DA FAZENDA. Documento de Arrecadação Estadual DAE. Manual do Usuário. Versão 1.0.0 SECRETARIA DE ESTADO DA FAZENDA 1 SECRETARIA DE ESTADO DA FAZENDA Documento de Arrecadação Estadual DAE Manual do Usuário Versão 1.0.0 SECRETARIA DE ESTADO DA FAZENDA 66.053-000 Av. Visconde de Souza Franco, 110 Reduto Belém PA Tel.:(091)

Leia mais

Conhecendo o Proteus

Conhecendo o Proteus Conhecendo o Proteus Vitor Amadeu Souza vitor@cerne-tec.com.br Em uma série de 3 artigos, veremos como usar o Proteus. Introdução Iremos neste momento iniciar nosso estudo no Proteus usando para isso as

Leia mais

Instalando software MÉDICO Online no servidor

Instalando software MÉDICO Online no servidor Instalando software MÉDICO Online no servidor A máquina denominada Servidora é a que armazenará o banco de dados do software (arquivo responsável pelas informações inseridas), compartilhando com as demais

Leia mais

GUIA DO CLIENTE VETTI INSTALANDO SEU KIT IP-Onvif 720P

GUIA DO CLIENTE VETTI INSTALANDO SEU KIT IP-Onvif 720P GUIA DO CLIENTE VETTI INSTALANDO SEU KIT IP-Onvif 720P Conteúdo 1. Apresentação... Erro! Indicador não definido. 1.1. Opções de Gravação... Erro! Indicador não definido. 2. Instalação da câmera IP-Onvif

Leia mais

Sumário 1. SOBRE O NFGoiana DESKTOP... 3 1.1. Apresentação... 3 1.2. Informações do sistema... 3 1.3. Acessando o NFGoiana Desktop... 3 1.4.

Sumário 1. SOBRE O NFGoiana DESKTOP... 3 1.1. Apresentação... 3 1.2. Informações do sistema... 3 1.3. Acessando o NFGoiana Desktop... 3 1.4. 1 Sumário 1. SOBRE O NFGoiana DESKTOP... 3 1.1. Apresentação... 3 1.2. Informações do sistema... 3 1.3. Acessando o NFGoiana Desktop... 3 1.4. Interface do sistema... 4 1.4.1. Janela Principal... 4 1.5.

Leia mais

Procedimentos para configurar o Motion Detection no D-ViewCam 1 Para configurar o Motion Detection no D-ViewCam, é necessário que a câmera IP esteja

Procedimentos para configurar o Motion Detection no D-ViewCam 1 Para configurar o Motion Detection no D-ViewCam, é necessário que a câmera IP esteja Procedimentos para configurar o Motion Detection no D-ViewCam 1 Para configurar o Motion Detection no D-ViewCam, é necessário que a câmera IP esteja conectada ao software (D-ViewCam). 1 2 Abra o D-ViewCam

Leia mais

SIMULADO Windows 7 Parte V

SIMULADO Windows 7 Parte V SIMULADO Windows 7 Parte V 1. O espaço reservado para a Lixeira do Windows pode ser aumentado ou diminuído clicando: a) Duplamente no ícone lixeira e selecionando Editar propriedades b) Duplamente no ícone

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Operações de Caixa. Versão 2.0. Manual destinado à implantadores, técnicos do suporte e usuários finais

Operações de Caixa. Versão 2.0. Manual destinado à implantadores, técnicos do suporte e usuários finais Operações de Caixa Versão 2.0 Manual destinado à implantadores, técnicos do suporte e usuários finais Sumário Introdução... 3 Suprimento... 3 Sangria... 4 Abertura de Caixa... 6 Fechamento de Caixa...

Leia mais

Celebre este natal e ano novo junto aos seus amigos e familiares distantes.

Celebre este natal e ano novo junto aos seus amigos e familiares distantes. Celebre este natal e ano novo junto aos seus amigos e familiares distantes. Receba fotos e mensagens deles na TV de sua casa em tempo real e sem custo, não se preocupe mais com a distância! A festa será

Leia mais

Aula 01 - Formatações prontas e condicionais. Aula 01 - Formatações prontas e condicionais. Sumário. Formatar como Tabela

Aula 01 - Formatações prontas e condicionais. Aula 01 - Formatações prontas e condicionais. Sumário. Formatar como Tabela Aula 01 - Formatações prontas e Sumário Formatar como Tabela Formatar como Tabela (cont.) Alterando as formatações aplicadas e adicionando novos itens Removendo a formatação de tabela aplicada Formatação

Leia mais

Motorola Phone Tools. Início Rápido

Motorola Phone Tools. Início Rápido Motorola Phone Tools Início Rápido Conteúdo Requisitos mínimos... 2 Antes da instalação Motorola Phone Tools... 3 Instalar Motorola Phone Tools... 4 Instalação e configuração do dispositivo móvel... 5

Leia mais

PROCEDIMENTO PARA REPASSAR BACKUP s EM CNC s FAGOR 8035 / 8055 ATRAVÉS DO SOFTWARE FAGOR WINDNC. REVISÃO 2.0

PROCEDIMENTO PARA REPASSAR BACKUP s EM CNC s FAGOR 8035 / 8055 ATRAVÉS DO SOFTWARE FAGOR WINDNC. REVISÃO 2.0 PROCEDIMENTO PARA REPASSAR BACKUP s EM CNC s FAGOR 8035 / 8055 ATRAVÉS DO SOFTWARE FAGOR WINDNC. REVISÃO 2.0 1 Ajustes dos parâmetros no CNC. 1.1 Explicações sobre parâmetros de Linha de Série 2 Software

Leia mais

MANUAL DO SISTEMA. Versão 6.04

MANUAL DO SISTEMA. Versão 6.04 MANUAL DO SISTEMA Versão 6.04 Inventário Manual...2 Relatório de contagem...2 Contagem...3 Digitação...3 Inventário Programado...6 Gerando o lote...7 Inserindo produtos manualmente no lote...8 Consultando

Leia mais