UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

Tamanho: px
Começar a partir da página:

Download "UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação"

Transcrição

1 UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 -

2 Compilando VHDL com o ALTERA MAX PLUS II Introdução Neste tutorial, será apresentada uma pequena descrição de como criar, de maneira rápida e objetiva, projetos usando o software ALTERA MAX PLUX II. O software em questão é uma poderosa ferramenta na implementação de FPGA s (Field Programmable Field Arrays), ou seja, circuitos integrados que podem ser gravados e regravados conforme a necessidade. Demora-se um pouco para se adquirir habilidade com o software, entretanto, se por um lado aprender a trabalhar com o ALTERA é demorado, os resultados nas implementações e simulações se mostraram muito bons. Este tutorial se inicia indicando ao usuário como obter a licença para habilitar os diversos recursos do software, embora este seja gratuito. Em seguida, será mostrado passo a passo como proceder a fim de se implementar um simples projeto. Conceitos sobre o software serão apresentados à medida que forem necessários para o processo de implementação. Ao final, o usuário estará habilitado a criar e implementar projetos básicos uma vez que o objetivo deste texto é de apenas introduzir os conceitos iniciais de implementação via esquemático e VHDL

3 1. Pré requisitos Para se obter um maior aproveitamento dos conceitos apresentados, se sugere que o usuário possua alguns pré-requisitos. A saber: Conhecimentos básicos em eletrônica digital; Facilidade em trabalhar com softwares com ambiente gráfico reduzido; Visão e criatividade para detectar falhas e soluções para problemas; Conhecimentos básicos de inglês; Conhecimentos básicos de VHDL; Paciência e persistência! 2. Licença Embora o MAX PLUX II seja um software gratuito é necessário obter uma licença a fim de se habilitar a maior parte dos recursos oferecidos pelo programa. Obter a licença se torna rápido e fácil seguindo os passos a seguir Obtendo a licença Deve-se acessar o endereço tendo em mãos o número do volume do HD da máquina onde está instalado o software. NOTA Para se obter o volume do HD, entre no prompt do MS-DOS e digite VOL, pressionando enter em seguida. Anote o número que será fornecido. Na página inicial, clique em Licencing no topo a direita

4 Na página seguinte, role-a até o fim e clique em MAX PLUX II SOFTWARE FOR STUDENTS AND UNIVERSITIES. Em seguida, preencha o formulário não esquecendo de colocar corretamente o para o qual se deseja que a licença seja envidada. ATENÇÃO: NÃO SE DEVE TENTAR AVANÇAR SEM TER PREENCHIDO TODO O FORMULÁRIO OU OCORRERÁ ERRO E A LICEÇA NÃO SERÁ ENVIADA.

5 Após preencher corretamente, clique em NEXT. Preencha o campo mostrado com o número do volume do HD e clique em OK. Uma mensagem confirmado o envio da licença deverá ser mostrada. Ao consultar sua conta de , uma mensagem enviada pela ALTERA deverá ser encontrada. Ao abrir a mensagem, você encontrará uma arquivo anexo com o nome licence.dat. Copie o arquivo para o seu computador em uma pasta reservada Habilitando a licença Após conseguir a licença, abra o software e clique em OPTIONS-> LICENCE SETUP. Indique no primeiro campo o caminho para a pasta onde está salvo o arquivo de licença e clique em OK. Se tudo foi feito corretamente, a licença liberará a maioria das ferramentas disponíveis. O software está pronto para o trabalho

6 3. Como criar um novo projeto Neste tutorial, implementaremos uma estrutura básica e amplamente utilizada em eletrônica digital: o MUX. Para começar, vá ao menu FILE -> PROJECT->NAME. Na janela mostrada, digite o nome desejado para o projeto que será implementado. No caso em questão, digite mux. Você acaba de criar um projeto ao qual todos os arquivos criados posteriormente farão parte. Um dos problemas do MAX PLUS é o de não haver a criação de pastas separadas para cada projeto criado. Para manter a organização, crie, no seu computador, uma pasta e dê o nome de maxwork. Dentro dela, crie outra pasta e a nomeie de mux2x1. Volte ao software e repita os passos mostrados anteriormente mas desta vez procurando a pasta mux2x1 no campo Directories. Feito isso, dê ao projeto o mesmo nome da pasta, mux2x1. Clique em OK. Dessa maneira, todos os arquivos gerados para o projeto serão gravados dentro de uma única pasta, não ficando misturados com outros projetos

7 4. Editando o código VHDL Neste projeto, o MUX 2x1 será implementado utilizando os recursos da linguagem descritiva VHDL (Very High Speed Integrated Circuit). O software conta uma biblioteca com alguns códigos fontes pré-escritos. Pode-se encontrar alguns códigos escritos na íntegra ou apenas partes de código como a declaração de uma entity, por exemplo. Assim, mesmo que o usuário não esteja acostumado às regras da linguagem, não encontrará dificuldades Usando o editor de textos Clique em MAX+PLUX II -> TEXT EDITOR. Uma tela em branco deverá ser aberta. Clique em TEMPLATES -> VHDL TEMPLATES

8 Um box contendo vários modelos de códigos VHDL abrirá. Supondo que você não saiba como iniciar uma declaração de código VHDL, selecione Entity Declaration no box que se abriu e clique em OK. Após, você verá o modelo de declaração de uma Entity impressa no editor de texto. Proceda da mesma maneira para cada etapa da descrição de código de que não se lembrar. Não esqueça de adicionar a biblioteca padrão no início do código. NOTA É possível mudar as cores do editor de texto de acordo com o gosto do usuário, evitando que o código fique confuso. Para isso, clique em OPTIONS =>COLOR PALLETE e certifique-se de que a opção SYNTAX COLORING está selecionada

9 Para poupar tempo, segue a descrição completa de um Mux 2x1. library IEEE; use IEEE.std_logic_1164.all; entity mux2x1 is port ( a: in STD_LOGIC; b: in STD_LOGIC; s: in STD_LOGIC; z: out STD_LOGIC ); end mux2x1; - 9 -

10 architecture mux2x1_arch of mux2x1 is signal S1, S2, S3 : STD_LOGIC; begin S1 <= a and s; S2 <= b and S3; S3 <= not(s); z <= S1 or S2; end mux2x1_arch; Copie o código acima para um novo arquivo de texto EM BRANCO. Em seguida, clique em FILE -> SAVE. Na caixa de diálogo, procure a pasta mux2x1 criada anteriormente e digite mux2x1.vhd no campo referente ao nome do arquivo. Clique em OK. Com estas instruções, você acaba de criar a descrição de um Mux 2X

11 4.2. Explorando a multiportabilidade Aproveitando da multiportabilidade da linguagem VHDL, o usuário pode importar um arquivo fonte pronto de outro software similar ao MAX PLUS,como o XILINX FOUNDATION ou de um editor de texto comum ( bloco de notas). Basta apenas clicar em FILE -> OPEN. Na caixa de diálogo, procure o diretório onde se encontra o texto e marque a opção TEXT EDITOR FILES e role a caixa de seleção a extensão *.vhd aparecer. Ao clicar em OK o texto deverá ser aberto e estará pronto para ser editado e compilado

12 4.3. Compilando o código VHDL Com o código VHDL aberto, clique em FILE -> PROJECT -> SAVE&COMPILE. Em seguida, uma tela se abrirá e o processo de compilação será iniciado. Se o código VHDL conter algum erro, este será apontado na caixa MESSAGES-COMPILER. Ocorrendo erros, verifique possíveis falhas de lógica ou sintaxe, corrija-os e repita os passos anteriores até que mensagem de sucesso apareça

13 5. Usando o editor gráfico 5.1. Criando um símbolo a partir do código VHDL Após compilar o código VHDL com sucesso, um símbolo que representa o componente criado é gerado automaticamente. Esse símbolo estará disponível no editor gráfico para facilitar a visualização e simulação do projeto. Com a janela do editor de texto ativa, clique em FILE => CREATE DEFAULT SYMBOL. Um box perguntará se o usuário deseja recriar o símbolo. É recomendável que a cada modificação do código VHDL se crie um novo símbolo. As telas abertas na seqüência serão idênticas às abertas no processo de compilação descrito no tópico

14 5.2 Criando um novo projeto no editor gráfico Executando os mesmos passos mostrados na seção 3.0, crie um novo projeto com o nome mux2x1_gráfico. Em seguida, crie um novo arquivo com a extensão *.gdf clicando em FILE => NEW => GRAFIC EDITOR FILE => OK

15 5.3 Editando no modo gráfico Após ter criado um novo projeto gráfico, uma tela em branco deverá se abrir juntamente com a barra de ferramentas para edição. Dê um duplo clique na área em branco. Um box para a seleção de símbolos deverá se abrir. Na caixa SYMBOL LIBRARIES, selecione o caminho para o projeto mux2x1. na caixa SYMBOL FILES, selecione o símbolo criado MUX2X1. Clique em OK. O símbolo criado deverá ser aberto na área de trabalho gráfica

16 Novamente, dê um duplo clique na área de trabalho. Desta vez, selecione a segunda opção apresentada na caixa: c :\maxplus...\prim. Na caixa Symbol Files, escolha output e depois input. Isto deverá ser feito até a seguinte configuração ser alcançada: Em seguida, analogamente ao feito para o código VHDL, clique em FILE => PROJECT => SAVE&COMPILE. Se tudo estiver certo, um box igual ao apresentado na seção 4.3 abrirá indicando sucesso. NOTA É necessário ligar os terminais de entrada e saída aos terminais do componente criado. Para isso, basta apenas clicar nas bordas dos terminais e arrastar o mouse, ligando-os. Não se esqueça de nomear cada terminal de entrada e saída com os mesmos nomes dos terminais do componente ( A,B,S e Z no mux usado). O passo final da implementação do projeto é a simulação do componente. A descrição dessa etapa é apresentada na próxima e última seção. 6. Usando o simulador Após implementar o componente é necessário testá-lo a fim de verificar sua funcionalidade. 6.1 Criando um novo arquivo de simulação Seguindo os mesmos passos das seções 3.0 e 5.2, será necessário criar um arquivo de simulação de extensão *.scf. Clique em FILE => NEW => WAVEFORM EDITOR FILE

17 Uma nova tela em branco deverá ser aberta. Esta é a tela do simulador onde as formas de onda aparecerão depois de inseridas. Primeiro, entretanto, deve-se salvar o novo arquivo criado. Clique em FILE => SAVE. Não será preciso mudar nenhuma extensão e nem ao menos nomear o arquivo. Basta apenas clicar em OK. 6.2 Inserindo formas de onda Clique no campo NAME a área de trabalho do simulador. Em seguida, dê um clique no botão direito do mouse para ver o menu. Escolha ENTER NODES FROM SNF. Um box deverá se abrir

18 No box que se abriu, clique em LIST para listar todas as entradas e saídas do componente que está simulado, neste caso, o Mux2x1. As entrada e saídas aparecerão no campo AVAILABLE NODES & GROUPS. Clique na seta localizada no meio do box ( =>) para selecionar os nós desejados. No nosso caso, selecione todos os nós para a simulação. Clique em OK. Ao voltar para a área de trabalho do simulador, você verá que os sinais foram adicionados, conforme mostrado na figura a seguir

19 Do lado esquerdo do simulador, pode-se ver a barra de ferramentas na qual se encontra os tipos de sinais que podem ser aplicados a cada entrada do componente. Vamos simular o nosso Mux da seguinte maneira: Selecione o sinal A e clique no pulso 1 da barra de ferramentas; Selecione o sinal B e clique no pulso 0 da barra de ferramentas; Selecione o sinal S e clique no símbolo de um relógio ( CLOCK ) da barra de ferramentas e OK em seguida Se tudo foi feito corretamente, você deverá visualizar a seguinte tela:

20 6.3. Simulando Na barra de ferramentas principal, clique no ícone assinalado na figura abaixo. Um box deverá abrir. No box que se abriu, clique em Start para dar início ao processo de simulação. Se a simulação ocorreu sem erros, um box aparecerá indicando o sucesso da simulação. Clique em OK e em OPEN SCF no box anterior. Na barra de ferramentas da simulação, clique na lupa ZOOM OUT até poder ver um número considerável de pulsos Dessa maneira, você estará vendo o resultado final da simulação. Se nada estiver errado, você observará que conforme os pulso do clock de S, a saída Z muda de valor. Isto significa que o nosso Mux está funcionando perfeitamente

21 Termina, assim, o papel deste tutorial. Com os princípios básicos aqui mostrados, o usuário está capacitado a criar e simular componentes de quaisquer tipos. Recomenda-se aprofundamento nos conhecimentos de VHDL e de outros softwares através de outros tutoriais que podem ser encontrados junto ao Grupo de Microeletrônica da UNIFEI

22 Guia para gravação de FPGA s Neste guia serão discutidos os passos a serem seguidos para à gravação de uma FPGA usando o software ALTERA MAX PLUS II. PASSO 1 Compile o código VHDL ou o esquemático que se queira implementar. Em seguida, se não houver erros, clique em ASSIGN-> DEVICE. Na caixa de diálogo subsequente, selecione a família do chip em DEVICE FAMILY. Remova a seleção SHOW ONLY FASTEST SPEED GRADES, e selecione a especificação do chip a ser gravado. Neste guia, gravaremos uma FPGA da família MAX 3000A de especificação EPM3032ALC Os passos são iguais para todas as outras famílias. PASSO 2 Clique no botão mostrado abaixo (FOORPLAN). Na tela seguinte, dê um dulplo clique em qualquer área da tela para abrir a visualização das portas da FPGA

23 Nesta tela, pode-se visualizar a numeração e localização de todas as portas da FPGA. Escolha as melhores portas para o projeto a ser implementado e proceda da seguinte maneira: clique em ASSIGN -> PIN/LOCATION/CHIP. Na tela seguinte, clique em SEARCH, e então em LIST. Todas as I/O do projeto a ser implementado deverão aparecer na lista. Selecione uma de cada vez e cliquem em OK

24 Na caixa seguinte, vá ao campo PIN e selecione o número da porta que deseja atribuir à I/O escolhido. Clique, então, em ADD. O sinal com a respectiva indicação da porta atribuída deverá aparecer no campo EXISTING PIN/ LOCATION/PIN ASSIGNMENTS

25 Para mudar a localização de algum pino, clique no nome do pino na lista, mude seu número em PIN e então clique em CHANGE. Cuidado para não atribuir portas repetidas a pinos diferentes. Se o projeto possui alguma entrada que faça a função de clock, não atribua nenhum pino a esta entrada. As FPGA s possuem uma entrada reservada de clock que é atribuída atomaticamente quando se compila o projeto, desde que a entrada tenha sindo nomeada como CLOCK. Após selecionar corretamente todas as I/Os, compile novamente o projeto e repita a etapa inicial deste passo clicando em FOORPLAN (não esqueça de fechar o FOORPLAN aberto inicialmente). Ao ver as portas do chip, você notará que as portas selecionadas aparecerão em azul

26 OBS: algumas portas da FPGA são reservadas para programação do chip. Se por algum motivo você atribuir alguma função a estas entradas, o compilador acusará erro. Para corrigir o erro, basta apenas mudar o número da porta por uma outra que não seja reservada. PASSO 3 Com o projeto compilado sem erros e com as portas definidas corretamente, pode-se agora gravar a FPGA. Clique no botão PROGRAMMER. A tela de gravação irá aparecer. Caso ainda não tenha sido feita qualquer gravação anterior, uma janela requisitando o tipo de hardware a ser usado será aberta. Selecione o tipo de hardware de gravação a ser usado. Neste guia, selecionaremos BIT BLASTER MV. O arquivo de programação (*.pof) será selecionado automaticamente pelo software

27 Observe as opções oferecidas: PROGRAM: programa a FPGA com os dados compilados; VERIFY: verifica se a programação foi bem sucedida; EXAMINE: examina se a FPGA está vazia; BLANK-CHECK: apaga a FPGA. PASSO 4 Clique em PROGRAM. A barra de status correrá rapidamente e ao término uma mensagem aparecerá indicando que a gravação foi executada com sucesso. O processo é rapido, não levando mais que alguns segundos. Para verificar se a gravação foi realmente bem sucedida, basta simular a FPGA gravada, aplicando em suas entradas especificadas os mesmos sinais usados para simular via software. Se a placa de gravação permitir, pode-se simular a FPGA usando o próprio software. Para isso, abra o arquivo de simulação do projeto e selecione USE DEVICE, clicando em START

Sumário. 1. Instalando a Chave de Proteção 3. 2. Novas características da versão 1.3.8 3. 3. Instalando o PhotoFacil Álbum 4

Sumário. 1. Instalando a Chave de Proteção 3. 2. Novas características da versão 1.3.8 3. 3. Instalando o PhotoFacil Álbum 4 1 Sumário 1. Instalando a Chave de Proteção 3 2. Novas características da versão 1.3.8 3 3. Instalando o PhotoFacil Álbum 4 4. Executando o PhotoFacil Álbum 9 3.1. Verificação da Chave 9 3.1.1. Erro 0001-7

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

O Windows também é um programa de computador, mas ele faz parte de um grupo de programas especiais: os Sistemas Operacionais.

O Windows também é um programa de computador, mas ele faz parte de um grupo de programas especiais: os Sistemas Operacionais. MICROSOFT WINDOWS O Windows também é um programa de computador, mas ele faz parte de um grupo de programas especiais: os Sistemas Operacionais. Apresentaremos a seguir o Windows 7 (uma das versões do Windows)

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

MANUAL DA SECRETARIA

MANUAL DA SECRETARIA MANUAL DA SECRETARIA Conteúdo Tela de acesso... 2 Liberação de acesso ao sistema... 3 Funcionários... 3 Secretaria... 5 Tutores... 7 Autores... 8 Configuração dos cursos da Instituição de Ensino... 9 Novo

Leia mais

REGISTRO DE PROJETOS

REGISTRO DE PROJETOS REGISTRO DE PROJETOS 1. REGISTRO DE PROJETOS Esta aplicação tem o objetivo de realizar o Registro de Projetos da Instituição. É possível, incluir, alterar ou excluir essas informações. 1.1. Acessando a

Leia mais

Para o OpenOffice Impress, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides.

Para o OpenOffice Impress, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides. OPENOFFICE IMPRESS 1. O QUE É UMA APRESENTAÇÃO Para o OpenOffice Impress, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides. Em cada slide podemos ter vários elementos

Leia mais

Manual do Teclado de Satisfação Online WebOpinião

Manual do Teclado de Satisfação Online WebOpinião Manual do Teclado de Satisfação Online WebOpinião Versão 1.2.3 27 de novembro de 2015 Departamento de Engenharia de Produto (DENP) SEAT Sistemas Eletrônicos de Atendimento 1. Introdução O Teclado de Satisfação

Leia mais

Como produzir e publicar uma apresentação online dinâmica (Prezi)

Como produzir e publicar uma apresentação online dinâmica (Prezi) Como produzir e publicar uma apresentação online dinâmica (Prezi) Este módulo irá ensinar-lhe como produzir e publicar uma apresentação online dinâmica usando o programa Prezi. A produção de uma apresentação

Leia mais

Superintendência Regional de Ensino de Ubá - MG Núcleo de Tecnologia Educacional NTE/Ubá. LibreOffice Impress Editor de Apresentação

Superintendência Regional de Ensino de Ubá - MG Núcleo de Tecnologia Educacional NTE/Ubá. LibreOffice Impress Editor de Apresentação Superintendência Regional de Ensino de Ubá - MG Núcleo de Tecnologia Educacional NTE/Ubá LibreOffice Impress Editor de Apresentação Iniciando o Impress no Linux Educacional 4 1. Clique no botão 'LE' no

Leia mais

Conectar diferentes pesquisas na internet por um menu

Conectar diferentes pesquisas na internet por um menu Conectar diferentes pesquisas na internet por um menu Pré requisitos: Elaboração de questionário Formulário multimídia Publicação na internet Uso de senhas na Web Visualização condicionada ao perfil A

Leia mais

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Portas Lógicas Básicas: Parte 2 Simulação Lógica Laboratório 1 - continuação Portas Lógicas Básicas: Parte 2 Simulação Lógica 5. Introdução à Simulação Lógica Neste laboratório, você tomará contato com a ferramenta de projeto digital Max+Plus II, da

Leia mais

MANUAL DO PEP ATUALIZADO EM 13-08-2014 PROPOSTA ELETRÔNICA DE PREÇOS REFERENTE A VERSÃO DO PEP: 2.0.0.25

MANUAL DO PEP ATUALIZADO EM 13-08-2014 PROPOSTA ELETRÔNICA DE PREÇOS REFERENTE A VERSÃO DO PEP: 2.0.0.25 MANUAL DO PEP ATUALIZADO EM 13-08-2014 PROPOSTA ELETRÔNICA DE PREÇOS REFERENTE A VERSÃO DO PEP: 2.0.0.25 1 Sumário 1.Objetivo...03 2.Suporte...03 3.Como instalar...03 4.Usando o PEP...09 2 1. Objetivo:

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Software Planejamento Tributário

Software Planejamento Tributário Software Planejamento Tributário Bem vindo ao segundo manual de instalação do software de Planejamento Tributário, este irá lhe mostrar os passos para o término da instalação e configuração do software

Leia mais

Passo- a- passo SKILLSPECTOR Software gratuito para análise cinemática Procedimentos para configuração, digitalização e calibragem

Passo- a- passo SKILLSPECTOR Software gratuito para análise cinemática Procedimentos para configuração, digitalização e calibragem Passo- a- passo SKILLSPECTOR Software gratuito para análise cinemática Procedimentos para configuração, digitalização e calibragem Prof. Dr. Ricardo Martins de Souza O passo- a- passo a seguir tem como

Leia mais

GUIA DE CONFIGURAÇÃO BALANÇA TOPMAX S & URANO INTEGRA

GUIA DE CONFIGURAÇÃO BALANÇA TOPMAX S & URANO INTEGRA GUIA DE CONFIGURAÇÃO BALANÇA TOPMAX S & URANO INTEGRA Abaixo seguem os passos necessários para que você possa cadastrar um Equipamento do tipo Balança Topmax S no Software Urano Integra. Passo 1: Acessar

Leia mais

TUTORIAL PARA PREPARAÇÃO E IMPORTAÇÃO DE DADOS PARA. Os dados de suas coletas devem ser organizados em uma planilha eletrônica, de modo

TUTORIAL PARA PREPARAÇÃO E IMPORTAÇÃO DE DADOS PARA. Os dados de suas coletas devem ser organizados em uma planilha eletrônica, de modo TUTORIAL PARA PREPARAÇÃO E IMPORTAÇÃO DE DADOS PARA ESTIMATIVAS DE RIQUEZA DE ESPÉCIES Softwares utilizados: Excel, EstimateS e Statistica. Preparação dos dados Os dados de suas coletas devem ser organizados

Leia mais

MOODLE NA PRÁTICA PEDAGÓGICA

MOODLE NA PRÁTICA PEDAGÓGICA Carmen Mathias Agosto - 2009 I. CADASTRO 1. Acessar o site de treinamento (teste): http://moodle_course.unifra.br/ 2. Faça o login, clicando em acesso no lado direito superior da tela: 3. Coloque seu nome

Leia mais

Manual Fácil 1 DOWNLOAD E INSTALAÇÃO. 1. Como faço o download do Jimbo Mobile?

Manual Fácil 1 DOWNLOAD E INSTALAÇÃO. 1. Como faço o download do Jimbo Mobile? Seja bem-vindo(a) ao Manual Fácil Jimbo. O jeito mais simples de você aprender a usar o nosso software gratuito. Com esse passo a passo você fica sabendo como acrescentar despesas, alterar lançamentos,

Leia mais

SUMÁRIO. 1. Instalação... 1. 2. Operações... 3. 2.1 Comunicação... 4. 2.1.1 Modo... 4. 2.1.2 Ethernet... 5. 2.1.3 Serial... 6

SUMÁRIO. 1. Instalação... 1. 2. Operações... 3. 2.1 Comunicação... 4. 2.1.1 Modo... 4. 2.1.2 Ethernet... 5. 2.1.3 Serial... 6 SUMÁRIO 1. Instalação... 1 2. Operações... 3 2.1 Comunicação... 4 2.1.1 Modo... 4 2.1.2 Ethernet... 5 2.1.3 Serial... 6 2.1.4 Configurações da placa de rede... 6 2.2 Edição base... 7 2.2.1 Produto... 7

Leia mais

Lync Acessando o Lync Web App

Lync Acessando o Lync Web App Lync Acessando o Lync Web App Saiba como ingressar em uma reunião, quais são os recursos disponíveis, as configurações e conheça o layout do Lync Web App. Sumário Lync Web App... 1 Ingressar em uma Reunião

Leia mais

Para o PowerPoint, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides.

Para o PowerPoint, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides. POWERPOINT 1. O QUE É UMA APRESENTAÇÃO Para o PowerPoint, assim como para vários softwares de apresentação, uma apresentação é um conjunto de slides. Em cada slide podemos ter vários elementos ou objetos

Leia mais

Veja em Tela cheia abaixo: Página nº 2

Veja em Tela cheia abaixo: Página nº 2 Neste pequeno manual iremos conhecer as diversas impressoras que poderemos utilizar no sistema park service, a impressora poderá ser matricial ou térmica utilizando uma bobina de papel 40 colunas, facilmente

Leia mais

BR DOT COM SISPON: MANUAL DO USUÁRIO

BR DOT COM SISPON: MANUAL DO USUÁRIO BR DOT COM SISPON: MANUAL DO USUÁRIO BAURU 2015 2 BR DOT COM SISPON: MANUAL DO USUÁRIO Manual do usuário apresentado para auxiliar no uso do sistema SisPon. BAURU 2015 3 SUMÁRIO 1 Instalação... 5 1.1 Sispon...

Leia mais

Atenção ainda não conecte a interface em seu computador, o software megadmx deve ser instalado antes, leia o capítulo 2.

Atenção ainda não conecte a interface em seu computador, o software megadmx deve ser instalado antes, leia o capítulo 2. Atenção ainda não conecte a interface em seu computador, o software megadmx deve ser instalado antes, leia o capítulo 2. Interface megadmx SA Firmware versão 1, 2 e 3 / software megadmx 2.000 (Windows/MAC

Leia mais

Criar as tabelas para um banco de dados

Criar as tabelas para um banco de dados Treinamento Criar as tabelas para um banco de dados ANTES DE COMEÇAR O primeiro curso desta série, "Criar as tabelas de um novo banco de dados", forneceu uma lista de tabelas e campos para uso no banco

Leia mais

Figura 1: tela inicial do BlueControl COMO COLOCAR A SALA DE INFORMÁTICA EM FUNCIONAMENTO?

Figura 1: tela inicial do BlueControl COMO COLOCAR A SALA DE INFORMÁTICA EM FUNCIONAMENTO? Índice BlueControl... 3 1 - Efetuando o logon no Windows... 4 2 - Efetuando o login no BlueControl... 5 3 - A grade de horários... 9 3.1 - Trabalhando com o calendário... 9 3.2 - Cancelando uma atividade

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

ROBERTO OLIVEIRA CUNHA

ROBERTO OLIVEIRA CUNHA LEIAME APRESENTAÇÃO Nenhuma informação do TUTORIAL DO MICRO- SOFT OFFICE WORD 2003 poderá ser copiada, movida ou modificada sem autorização prévia e escrita do Programador Roberto Oliveira Cunha. Programador:

Leia mais

LASERTECK SOFTECK FC MANUAL DO USUÁRIO

LASERTECK SOFTECK FC MANUAL DO USUÁRIO LASERTECK SOFTECK FC MANUAL DO USUÁRIO 2015 SUMÁRIO 1 INTRODUÇÃO... 3 2 REQUISITOS DO SISTEMA... 3 3 INSTALAÇÃO... 3 4 O QUE MUDOU... 3 5 COMO COMEÇAR... 4 6 FORMULÁRIOS DE CADASTRO... 5 7 CADASTRO DE

Leia mais

Introdução ao HTML Utilizando frames Hospedando seu site na WEB

Introdução ao HTML Utilizando frames Hospedando seu site na WEB Introdução ao HTML Utilizando frames Hospedando seu site na WEB Por quê???? Por que com tantos editores gráficos de HTML, devemos saber como escrever um código fonte? Porque se você só viu páginas feitas

Leia mais

Usando o Conference Manager do Microsoft Outlook

Usando o Conference Manager do Microsoft Outlook Usando o Conference Manager do Microsoft Outlook Maio de 2012 Conteúdo Capítulo 1: Usando o Conference Manager do Microsoft Outlook... 5 Introdução ao Conference Manager do Microsoft Outlook... 5 Instalando

Leia mais

Figura 1: Interface 3G Identech

Figura 1: Interface 3G Identech Sumário 1 INTRODUÇÃO...3 2 INSTALAÇÃO NO WINDOWS XP...5 3 INSTALAÇÃO NO WINDOWS VISTA...12 4 INSTALAÇÃO NO WINDOWS 7...18 5 CADASTRANDO OPERADORA...25 6 CONECTANDO NA INTERNET...27 7 SERVIÇO DE SMS...29

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

Desenvolvido por: Rafael Botelho botelhotech@gmail.com http://rafaelbotelho.com

Desenvolvido por: Rafael Botelho botelhotech@gmail.com http://rafaelbotelho.com Desenvolvido por: Rafael Botelho botelhotech@gmail.com http://rafaelbotelho.com Guia de Instalação do BRlix Como tenho visto no fórum do BRlix que muitas pessoas estão encontrando dificuldades na instalação

Leia mais

SOLID EDGE ST3 TUTORIAL 2 CRIANDO UM DESENHO NO AMBIENTE DRAFT

SOLID EDGE ST3 TUTORIAL 2 CRIANDO UM DESENHO NO AMBIENTE DRAFT SOLID EDGE ST3 TUTORIAL 2 CRIANDO UM DESENHO NO AMBIENTE DRAFT Esse tutorial traz passo a passo instruções para criação de um desenho no ambiente Draft. Na criação dos desenhos você aprenderá as técnicas

Leia mais

Prefeitura de Volta Redonda Secretaria Municipal de Educação Departamento Pedagógico Núcleo de Tecnologia Educacional Volta Redonda NTM

Prefeitura de Volta Redonda Secretaria Municipal de Educação Departamento Pedagógico Núcleo de Tecnologia Educacional Volta Redonda NTM Prefeitura de Volta Redonda Departamento Pedagógico NTM Planilha Eletrônica Prefeitura de Volta Redonda Departamento Pedagógico NTM Prefeitura de Volta Redonda Departamento Pedagógico NTM Coloque seus

Leia mais

UNIVERSIDADE FEDERAL DO AMAPÁ PRÓ REITORIA DE ADMINISTRAÇÃO E PLANEJAMENTO DEPARTAMENTO DE INFORMÁTICA. Manual do Moodle- Sala virtual

UNIVERSIDADE FEDERAL DO AMAPÁ PRÓ REITORIA DE ADMINISTRAÇÃO E PLANEJAMENTO DEPARTAMENTO DE INFORMÁTICA. Manual do Moodle- Sala virtual UNIVERSIDADE FEDERAL DO AMAPÁ PRÓ REITORIA DE ADMINISTRAÇÃO E PLANEJAMENTO DEPARTAMENTO DE INFORMÁTICA Manual do Moodle- Sala virtual UNIFAP MACAPÁ-AP 2012 S U M Á R I O 1 Tela de Login...3 2 Tela Meus

Leia mais

1. REGISTRO DE PROJETOS

1. REGISTRO DE PROJETOS 1. REGISTRO DE PROJETOS Nesta aplicação será feito o registro dos projetos/ ações de extensão, informando os dados iniciais do projeto, as classificações cabíveis, os participantes, a definição da região

Leia mais

Redes Ponto a Ponto. Os drivers das placas de rede devem estar instalados.

Redes Ponto a Ponto. Os drivers das placas de rede devem estar instalados. Redes Ponto a Ponto É fácil configurar uma rede ponto-a-ponto em qualquer versão do Windows. Antes entretanto é preciso tomar algumas providências em relação ao hardware: Todos os computadores devem estar

Leia mais

O QUE É A CENTRAL DE JOGOS?

O QUE É A CENTRAL DE JOGOS? O QUE É A CENTRAL? A Central de Jogos consiste de duas partes: Um site na Internet e um programa de computador (Central) que deve ser instalado em sua máquina. No site www.centraldejogos.com.br, você pode

Leia mais

Cobrança Bancária. Contas / Manutenção.

Cobrança Bancária. Contas / Manutenção. Cobrança Bancária Este tutorial objetiva auxiliar os usuários na configuração do sistema para que a emissão de cobrança bancária dos títulos a receber seja feita. Os processos a seguir consistem na geração

Leia mais

Boot Camp Manual de Instalação e Configuração

Boot Camp Manual de Instalação e Configuração Boot Camp Manual de Instalação e Configuração Conteúdo 3 Introdução 3 Do que necessita 4 Descrição geral da instalação 4 Passo 1: Procurar actualizações 4 Passo 2: Preparar o computador Mac para o Windows

Leia mais

Max Fatura Sistema de Gestão

Max Fatura Sistema de Gestão Sumário 1 Tela Inicial... 2 2 Tela de Pesquisa... 3 3 Tela de Vendas... 4 5 Aproveitamento de Icms... 5 6 Salvar... 5 9 Para emissão da nota fiscal... 7 10 Impressão de relatórios... 10 11 Contas a Pagar

Leia mais

Verifique se o Plugin do Flash Player está instalado no seu computador para a navegação adequada no portal.

Verifique se o Plugin do Flash Player está instalado no seu computador para a navegação adequada no portal. 1 Tutorial: Blogs no Clickideia Introdução Esse tutorial mostrará as funções básicas da ferramenta de Blog do Portal Educacional Clickideia. Ele foi elaborado pensando em diferentes níveis de usuários

Leia mais

TRABALHANDO COM O ORÇAMENTO NO GERENCIAL

TRABALHANDO COM O ORÇAMENTO NO GERENCIAL Execute o ícone na área de trabalho: TRABALHANDO COM O ORÇAMENTO NO GERENCIAL Aguarde um instante, irá aparecer à seguinte tela: Clique no botão EXECUTAR e aguarde um momento. Após ter clicado em EXECUTAR

Leia mais

Manual das planilhas de Obras v2.5

Manual das planilhas de Obras v2.5 Manual das planilhas de Obras v2.5 Detalhamento dos principais tópicos para uso das planilhas de obra Elaborado pela Equipe Planilhas de Obra.com Conteúdo 1. Gerando previsão de custos da obra (Módulo

Leia mais

MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (GOOGLE CHROME)

MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (GOOGLE CHROME) MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (GOOGLE CHROME) VERSÃO 10 Atualizado em 30/12/2015 Página 1 de 30 A renovação online do certificado somente poderá ser feita uma única vez (e-cpf)

Leia mais

Manual Sistema de Autorização Online GW

Manual Sistema de Autorização Online GW Sistema de Autorização Online GW Sumário Introdução...3 Acesso ao sistema...4 Logar no sistema...4 Autorizando uma nova consulta...5 Autorizando exames e/ou procedimentos...9 Cancelamento de guias autorizadas...15

Leia mais

MANUAL DE UTILIZAÇÃO DO SISTEMA HERMES

MANUAL DE UTILIZAÇÃO DO SISTEMA HERMES MANUAL DE UTILIZAÇÃO DO SISTEMA HERMES 1. Introdução Esse documento tem por objetivo descrever o funcionamento e formas de utilização do módulo de Requisição de Materiais do Sistema de Controle Patrimonial

Leia mais

Manual de Instalação SIM/SINASC

Manual de Instalação SIM/SINASC Manual de Instalação SIM/SINASC Agosto/2009 Versão 2.2 Índice CAPÍTULO 01 INTRODUÇÃO... 4 CAPÍTULO 02 - PERFIL DO HARDWARE... 5 CAPÍTULO 03 INSTALADOR SIM SINASC 2.2... 6 CAPÍTULO 04 - MODO DE INSTALAÇÃO...

Leia mais

Página 1 MANUAL DE UTILIZAÇÃO DA FERRAMENTA OFFICE ONLINE WORD ONLINE EXCEL ONLINE POWER POINT ONLINE

Página 1 MANUAL DE UTILIZAÇÃO DA FERRAMENTA OFFICE ONLINE WORD ONLINE EXCEL ONLINE POWER POINT ONLINE Página 1 MANUAL DE UTILIZAÇÃO DA FERRAMENTA OFFICE ONLINE WORD ONLINE EXCEL ONLINE POWER POINT ONLINE Página 2 Sumário Como começar usar?... 03 Iniciando o uso do OneDrive.... 04 Carregar ou Enviar os

Leia mais

MANUAL DIPAM A Versão de 10/05/2012

MANUAL DIPAM A Versão de 10/05/2012 MANUAL DA DIPAM A Versão de 10/05/2012 1 Índice Geral... 3 Configuração Mínima... 3 Instalação... 4 Procedimento pós-instalação para sistemas com Vista ou Windows 7... 8 Uso do Programa DIPAM-A... 10 DIPAM

Leia mais

Portal do Projeto Tempo de Ser

Portal do Projeto Tempo de Ser Sumário Portal do Projeto Tempo de Ser O que é um Wiki?...2 Documentos...2 Localizando documentos...3 Links...3 Criando um Documento...4 Criando um link...4 Editando um Documento...5 Sintaxe Básica...5

Leia mais

CADASTRO DE OBRAS E PROJETOS DE ENGENHARIA

CADASTRO DE OBRAS E PROJETOS DE ENGENHARIA CADASTRO DE OBRAS E PROJETOS DE ENGENHARIA SET 2014 2 INTRODUÇÃO Este manual objetiva orientar os servidores no processo do cadastro de projetos no Sistema Channel para viabilizar o acompanhamento físico-financeiro

Leia mais

SUMÁRIO 1 PRÉ - REQUISITOS: 2 2 DOWNLOAD DO SIAPES 2 3 INSTALAÇÃO SIAPES 5 4 CONFIGURANDO DIREITOS DE USUÁRIO 9 5 CONFIGURAÇÃO DA BDE 10

SUMÁRIO 1 PRÉ - REQUISITOS: 2 2 DOWNLOAD DO SIAPES 2 3 INSTALAÇÃO SIAPES 5 4 CONFIGURANDO DIREITOS DE USUÁRIO 9 5 CONFIGURAÇÃO DA BDE 10 SUMÁRIO 1 PRÉ - REQUISITOS: 2 2 DOWNLOAD DO SIAPES 2 3 INSTALAÇÃO SIAPES 5 4 CONFIGURANDO DIREITOS DE USUÁRIO 9 5 CONFIGURAÇÃO DA BDE 10 6 CRIANDO ATALHO PARA SIAPES NO DESKTOP 13 7 TAREFAS DA PRIMEIRA

Leia mais

Sumário. 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7

Sumário. 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7 Ferragens Sumário 1. Propósito 1 2. Tabela de Ferragem 1 3. Criar Tabela de Ferragem 1 4. Conector de Ferragens 7 1. Propósito Para definirmos todos os materiais que devem compor uma estrutura de ferragem,

Leia mais

1 Instalando o LimerSoft SisVendas em computadores Mac/Apple

1 Instalando o LimerSoft SisVendas em computadores Mac/Apple 1 Instalando o LimerSoft SisVendas em computadores Mac/Apple Requisitos mínimos: Conhecimentos intermediários de informática, uma cópia genuína do Microsoft Windows. 1. Certifique-se de que seu sistema

Leia mais

Primeiros passos das Planilhas de Obra v2.6

Primeiros passos das Planilhas de Obra v2.6 Primeiros passos das Planilhas de Obra v2.6 Instalação, configuração e primeiros passos para uso das planilhas de obra Elaborado pela Equipe Planilhas de Obra.com Conteúdo 1. Preparar inicialização das

Leia mais

MANUAL DO OFICIAL DE JUSTIÇA

MANUAL DO OFICIAL DE JUSTIÇA MANUAL DO OFICIAL DE JUSTIÇA TRIBUNAL DE JUSTIÇA DE MATO GROSSO Janeiro 2012 Conteúdo Elaboração do projeto... 3 1. Acesso ao Sistema... 4 2. Tipo de Certificado... 4 2.1. Navegador padrão para acessar

Leia mais

Medical Office 2015 Instruções de Instalação e Configuração

Medical Office 2015 Instruções de Instalação e Configuração Medical Office 2015 Instruções de Instalação e Configuração 1) Faça o download do Medica Office através do nosso site www.medicaloffice.com.br opção do Download; 2) Após realizado o download do arquivo

Leia mais

FAQ. Erros, e dúvidas frequentes

FAQ. Erros, e dúvidas frequentes FAQ Erros, e dúvidas frequentes Sumário ERROS CONHECIDOS... 2 1. Botões da tela principal desabilitados... 2 2. Erro de cadastro... 3 3. Região Nordeste não encontrada no cadastro de obras;... 3 4. Célula

Leia mais

Editor de texto Writer

Editor de texto Writer Prefeitura de Volta Redonda Secretaria Municipal de Educação NTM Núcleo de Tecnologia Educacional Municipal Projeto de Informática Aplicada à Educação de Volta Redonda - VRlivre Editor de texto Writer

Leia mais

APOSTILA ZELIO SOFT 2

APOSTILA ZELIO SOFT 2 APOSTILA ZELIO SOFT 2 Prof. Maycon Max Kopelvski Fev/2010 rev.01 Página 1 de 20 1) Clique no ícone para abrir o programa Zelio Soft 2 2) Abrirá a tela inicial do Programa: Clique em Criar um novo programa.

Leia mais

Programação 2009/2010 MEEC MEAer

Programação 2009/2010 MEEC MEAer Programação 2009/2010 MEEC MEAer Guia para criação de um projecto no KDevelop O ambiente de desenvolvimento de software (IDE) a usar durante a unidade curricular de Programação é o KDevelop, que se executa

Leia mais

Passo 3: No diagrama de blocos com o menu de funções aberto (Figura anterior), abra a função express Signal Analysis e escolha Simulate signal.

Passo 3: No diagrama de blocos com o menu de funções aberto (Figura anterior), abra a função express Signal Analysis e escolha Simulate signal. TUTORIAL INTRODUÇÃO AO LABVIEW 8.2 Passo 1: Inicie o Labview. Você verá esta tela: Passo 2: Selecione blank VI (VI é a sigla de VIRTUAL INSTRUMENT). Fazendo isso você terá acesso a área de trabalho, a

Leia mais

COMO IMUNIZAR SEU PENDRIVE CONTRA VÍRUS. Introdução

COMO IMUNIZAR SEU PENDRIVE CONTRA VÍRUS. Introdução COMO IMUNIZAR SEU PENDRIVE CONTRA VÍRUS Introdução Os vírus de computador que infectam os pendrives sempre se infiltram pela raiz do mesmo, que é a primeira área onde o pendrive começa a ser utilizado,

Leia mais

TUTORIAL PARA UTILIZAÇÃO DA PLATAFORMA LMS

TUTORIAL PARA UTILIZAÇÃO DA PLATAFORMA LMS TUTORIAL PARA UTILIZAÇÃO DA PLATAFORMA LMS Neste documento você encontrará um conjunto de orientações de como navegar na plataforma do MBA Gestão Empreendedora. Siga as instruções com atenção e salve este

Leia mais

Themis Serviços On Line - Publicações

Themis Serviços On Line - Publicações Nome do documento Guia do Usuário Themis Serviços On Line - Publicações Sumário Introdução:... 3 1 - Cadastro dos Usuários do Themis Serviços On Line:... 3 2 - Acesso ao Sistema Themis Serviços On Line:...

Leia mais

Av. Paulo VI, 1952- Sumaré. 01262-010 São Paulo S.P.- Brasil Fone: (11) 3868-0822 Fax: (11)3673-0702 http://www.hezolinem.

Av. Paulo VI, 1952- Sumaré. 01262-010 São Paulo S.P.- Brasil Fone: (11) 3868-0822 Fax: (11)3673-0702 http://www.hezolinem. ÍNDICE 1 - INTERFACE/TECLAS... 3 2 - LIGANDO O EQUIPAMENTO... 4 3 - CRIANDO UM WAYPOINT... 4 4 - CRIANDO UMA TRILHA... 7 4.1 Apagando traçados... 7 4.2 Criando Trilhas... 8 - Posicione-se no ponto de partida

Leia mais

Manual de Utilização do PDV Klavix

Manual de Utilização do PDV Klavix Manual de Utilização do PDV Klavix Página 1/20 Instalando a partir do CD Se você recebeu um CD de instalação, rode o programa Instalador que se encontra no raiz do seu CD. Ele vai criar a pasta c:\loureiro

Leia mais

Exemplo: Na figura 1, abaixo, temos: Clique aqui para continuar, que é a primeira atividade que você precisa realizar para iniciar seus estudos.

Exemplo: Na figura 1, abaixo, temos: Clique aqui para continuar, que é a primeira atividade que você precisa realizar para iniciar seus estudos. Visão Geral VISÃO GERAL Esse material abordará o acesso ao AVA (Ambiente Virtual de Aprendizagem) da Proativa do Pará, com intenção de ilustrar os aspectos na visão do Aprendiz. Essa abordagem dedica-se

Leia mais

Esse produto é um produto composto e tem subprodutos

Esse produto é um produto composto e tem subprodutos Indústria - Cadastro de produtos O módulo indústria permite controlar a produção dos produtos fabricados pela empresa. É possível criar um produto final e definir as matérias-primas que fazem parte de

Leia mais

MATERIAL DE APRESENTAÇÃO DO SCRATCH

MATERIAL DE APRESENTAÇÃO DO SCRATCH MATERIAL DE APRESENTAÇÃO DO SCRATCH 1 Scratch é uma nova linguagem de programação que permite a criação de histórias, animações, jogos e outras produções. Tudo pode ser feito a partir de comandos prontos

Leia mais

ROTEIRO PARA EMISSÃO DE CERTIFICADO DIGITAL A1

ROTEIRO PARA EMISSÃO DE CERTIFICADO DIGITAL A1 ROTEIRO PARA EMISSÃO DE CERTIFICADO DIGITAL A1 Informações importantes sobre a emissão do Certificado A1: 1. Todos os certificados do tipo A1 emitidos no computador/usuário são gerados em um único Repositório

Leia mais

Informática básica Telecentro/Infocentro Acessa-SP

Informática básica Telecentro/Infocentro Acessa-SP Informática básica Telecentro/Infocentro Acessa-SP Aula de hoje: Verificando data e hora, desligando o computador, janelas, copiar colar, excluindo um arquivo. Prof: Lucas Aureo Guidastre Verificando data

Leia mais

Criar formulários preenchidos pelos usuários no Word

Criar formulários preenchidos pelos usuários no Word Página 1 de 5 Word > Criando documentos específicos > Formas Criar formulários preenchidos pelos usuários no Word Usando um modelo, você pode adicionar controles de conteúdo e texto com instrução no Microsoft

Leia mais

MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (INTERNET EXPLORER)

MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (INTERNET EXPLORER) MANUAL DE EMISSÃO E INSTALAÇÃO DO CERTIFICADO TIPO A1 (INTERNET EXPLORER) VERSÃO 10 Atualizado em 30/12/2015 Página 1 de 21 A renovação online do certificado somente poderá ser feita uma única vez (e-cpf)

Leia mais

WordPress Instruções de integração com PayPal

WordPress Instruções de integração com PayPal WordPress Instruções de integração com PayPal INFORMAÇÕES GERAIS Há duas maneiras de incluir o PayPal em sites baseados na plataforma WordPress. Opção 1: Incluir um carrinho de compras Opção 2: Incluir

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

LGTi Tecnologia. Manual - Outlook Web App. Soluções Inteligentes. Siner Engenharia

LGTi Tecnologia. Manual - Outlook Web App. Soluções Inteligentes. Siner Engenharia LGTi Tecnologia Soluções Inteligentes Manual - Outlook Web App Siner Engenharia Sumário Acessando o Outlook Web App (Webmail)... 3 Email no Outlook Web App... 5 Criar uma nova mensagem... 6 Trabalhando

Leia mais

Manual do Skanlite. Kåre Särs Anne-Marie Mahfouf Tradução: Marcus Gama

Manual do Skanlite. Kåre Särs Anne-Marie Mahfouf Tradução: Marcus Gama Kåre Särs Anne-Marie Mahfouf Tradução: Marcus Gama 2 Conteúdo 1 Introdução 5 2 Usar o Skanlite 6 2.1 Seleção do Scanner.................................... 6 3 Janela Principal do Skanlite 8 3.1 Digitalizando........................................

Leia mais

Tutorial para Atualização do Laboratório de Informática

Tutorial para Atualização do Laboratório de Informática Tutorial para Atualização do Laboratório de Informática Esse tutorial mostra os procedimentos a serem realizados para atualização correta do Laboratório de Informática. Leia atentamente o passo a passo

Leia mais

Tutorial. Guia de atualização de bibliotecas e do ofcdesk idc. Versão 1.3. 2011. Desenvolvido por ofcdesk, llc. Todos os direitos reservados.

Tutorial. Guia de atualização de bibliotecas e do ofcdesk idc. Versão 1.3. 2011. Desenvolvido por ofcdesk, llc. Todos os direitos reservados. Tutorial Versão 1.3 Guia de atualização de bibliotecas e do ofcdesk idc 2011. Desenvolvido por ofcdesk, llc. Todos os direitos reservados. Índice Introdução... Propósito... Como adicionar bibliotecas no

Leia mais

TUTORIAL APOSTILA PL7

TUTORIAL APOSTILA PL7 TUTORIAL APOSTILA PL7 CLP TELEMECANIQUE TSX 3722 SV.:3.0 AUTOR: MAYCON MAX KOPELVSKI MMK rev.01 TUTORIAL PL7 - CLP TELEMECANIQUE TSX3722 SV.:3.0 Pagina 1 de 12 TELA DETALHE OBSERVAÇÃO ETAPA 01 Iniciar

Leia mais

PREFEITURA MUNICIPAL DE JARDINÓPOLIS - SÃO PAULO. Departamento de Licitações. Introdução

PREFEITURA MUNICIPAL DE JARDINÓPOLIS - SÃO PAULO. Departamento de Licitações. Introdução Prefeitura Municipal de Jardinópolis/SP Tutorial sobre o Programa Betha AutoCotação Instalação, Utilização e Gravação em CD Página 2 Introdução Para dar maior agilidade a alguns processos licitatórios

Leia mais

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário CADERNO DE INFORMÁTICA FACITA Faculdade de Itápolis Aplicativos Editores de Texto WORD 2007/2010 Sumário Editor de texto... 3 Iniciando Microsoft Word... 4 Fichários:... 4 Atalhos... 5 Área de Trabalho:

Leia mais

Início Rápido para o Templo

Início Rápido para o Templo Início Rápido para o Templo O FamilySearch.org facilita realizar as ordenanças do templo por seus antepassados. Todo o processo tem apenas alguns passos simples: 1. Descobrir antepassados que precisam

Leia mais

Amostra OpenOffice.org 2.0 Writer SUMÁRIO

Amostra OpenOffice.org 2.0 Writer SUMÁRIO Amostra OpenOffice.org 2.0 Writer SUMÁRIO 1. INTRODUÇÃO 2. INSERIR TEXTO 3. SALVAR UM DOCUMENTO 4. FECHAR UM DOCUMENTO 5. INICIAR UM NOVO DOCUMENTO 6. ABRIR UM DOCUMENTO 7. SELECIONAR TEXTO 8. RECORTAR,

Leia mais

Capítulo 2. VARIÁVEIS DO TIPO INTEIRO

Capítulo 2. VARIÁVEIS DO TIPO INTEIRO Capítulo 2. VARIÁVEIS DO TIPO INTEIRO OBJETIVOS DO CAPÍTULO Conceitos de: variáveis do tipo inteiro, atribuição, avisos e erros de compilação, erros de execução, comentários dentro do programa-fonte Operadores

Leia mais

Sistemas Digitais. Tutorial Placa de Prototipação - Altera

Sistemas Digitais. Tutorial Placa de Prototipação - Altera Sistemas Digitais Tutorial Placa de Prototipação - Altera Monitoria SD 2012.1 Daniel Alexandro/Vanessa Ogg/Gustavo Benevides/Gilliano Ginno/Sidney Marlon Editado por (DARA) Placa Altera 1 Antes de Usar

Leia mais

Instalação do Sirius sem estações. O primeiro passo é instalar o Firebird 1.5, ele se encontra no CD de instalação do Sirius na pasta Firebird.

Instalação do Sirius sem estações. O primeiro passo é instalar o Firebird 1.5, ele se encontra no CD de instalação do Sirius na pasta Firebird. Instalação do Sirius sem estações 1. Instalando o Firebird...1 2. Instalando o Sirius...7 3. Configurações do Sirius:...11 Configuração dos arquivos do Sirius e Firebird...12 Firebird:...12 Sirius:...13

Leia mais

CRIANDO GRUPO DE CANAIS

CRIANDO GRUPO DE CANAIS TUTORIAL Nº 004 CRIANDO GRUPO DE CANAIS (TRANSPARÊNCIAS) Autor: Ciro Ota São José dos Campos/SP, 09 de Abril de 2008 INTRODUÇÃO: Nesse manual iremos ensinar como fazer a criação dos GCs, ou seja, Grupo

Leia mais

Atualizações de Software Guia do Usuário

Atualizações de Software Guia do Usuário Atualizações de Software Guia do Usuário Copyright 2009 Hewlett-Packard Development Company, L.P. Windows e Windows Vista são marcas registradas da Microsoft Corporation nos EUA. Aviso sobre o produto

Leia mais

Rotinas úteis e diárias para facilitar o uso do sistema Winsati pelo usuário.

Rotinas úteis e diárias para facilitar o uso do sistema Winsati pelo usuário. Rotinas úteis e diárias para facilitar o uso do sistema Winsati pelo usuário. Rotina para quando clicar no ícone do Winsati e ele não inicializar Podem acontecer dois casos: 1. Caso 1: No caso de clicar

Leia mais

CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949. Xerox CentreWare Web Identificar e Aplicar o Firmware Patch para Escanear

CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949. Xerox CentreWare Web Identificar e Aplicar o Firmware Patch para Escanear CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949 Identificar e Aplicar o Firmware Patch para Escanear 2013 Xerox Corporation. Todos os direitos reservados. Xerox, Xerox e Design, CentreWare,

Leia mais

Treinamento - Union Web

Treinamento - Union Web Inserindo formulário de acesso ao sistema no seu site: Para que seus condôminos tenham acesso ao sistema será necessária a inserção do formulário de entrada no seu site, veja com o programador do seu site

Leia mais