AMOSTRAGEM DE SINAIS ANALÓGICOS POR

Tamanho: px
Começar a partir da página:

Download "AMOSTRAGEM DE SINAIS ANALÓGICOS POR"

Transcrição

1 Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes, nº53788 André Cunha, nº53757 Grupo 3; 4ªfeira 15:00-19:00h Lisboa, 2 de Junho de 2007

2 Introdução O objectivo inicial deste trabalho consiste na implementação do protocolo SPI para a comunicação de uma FPGA SPARTAN 3 com um dispositivo ADC. Posteriormente pretende-se adquirir amostras individuais de um sinal analógico constante, para cada pressão manual num interruptor da placa e indicar o resultado no mostrador LCD/LED da placa emuladora. Quando se pretende adquirir dados amostrados através de um ADC, é necessário que exista um protocolo de comunicação entre o dispositivo de conversão analógica digital, (que neste caso tem um papel de slave) e o circuito que rege o seu funcionamento (master). O protocolo SPI é um standard de interface série síncrona e pode ser utilizado para a comunicação com um ADC, como se pretende neste trabalho. Este protocolo especifica quatro sinais lógicos de 1 bit para a comunicação série: o relógio (sinal de saída do master), um sinal de saída de comando (do ponto de vista do master), um sinal de entrada de dados (do ponto de vista do master) e um sinal de saída do master, activo a low, designado por chip select. Por vezes o slave requer que a comunicação seja feita em transições de flanco negativo do relógio, que é o caso do ADC que se pretende utilizar. Para que a comunicação se inicie, o master deve configurar o relógio para uma frequência igual ou inferior à frequência máxima que o slave é capaz de suportar. De seguida o master põe o CS (chip select) a low para que se inicie a comunicação. Durante cada ciclo de relógio posterior ocorre a transmissão de comando e de dados: o master envia bits para a linha Data out (do master) que o slave lê, enviando de seguida bits pela linha Data in (do master), que são lidos pelo master. É importante referir que o protocolo permite que um master tenha controlo sobre vários slaves. Neste caso existem tantas linhas CS quantos os dispositivos a comandar e o master activa apenas a linha CS do dispositivo com quem pretende trocar informação. No caso do projecto que se pretende implementar, o ADC utilizado, MCP3202, funciona através de um protocolo SPI como o descrito acima. Assim, este dispositivo será um slave, pretendendo-se programar o master, o dispositivo de controlo do ADC, na FPGA SPARTAN3, cujo funcionamento foi sumariamente descrito no relatório primeiro trabalho de laboratório. Na Figura 1 encontra-se um diagrama temporal do funcionamento do sistema a implementar, sob o ponto de vista do ADC (retirado da datasheet deste dispositivo) correspondente à interface SPI MPC 3202 do mesmo. Figura 1 Diagrama temporal da transferência de dados SPI do ponto de vista do ADC

3 Projecto e implementação do protocolo SPI 1ª sessão de laboratório Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL. Como este projecto foi algo longo, para além de ter passado por várias fases de implementação, foi sofrendo ao longo das várias sessões de laboratório modificações e correcções. Assim, apresenta-se uma descrição da implementação definitiva para as várias fases do projecto em cada sessão de laboratório, chamando-se a atenção, pontualmente, para o facto de determinadas opções referentes à fase de implementação descrita em cada parte deste relatório terem sido tomadas posteriormente. A primeira sessão de laboratório consistiu na criação de um projecto VHDL em ambiente de programação XILINX ISE MPLAB e na implementação do protocolo SPI descrito acima. Para tal foram definidos os sinais exteriores (para ligação posterior à FPGA) clock50mhz, reset, din_fpga, como sinais de entrada, e dout_fpga, cs_fpga e clockspi como sinais de saída e o sinal interno count (um contador de 50MHz para a programação do relógio mais lento, clockspi ). Como a programação foi feita do ponto de vista da FPGA, o master, o significado destes sinais é claro. O sinal clock50mhz é o relógio da própria FPGA, como havia sido descrito no primeiro trabalho e o clockspi é um relógio de menor frequência, suportada pelo ADC. Como o trabalho de laboratório anterior havia consistido precisamente a programação de um relógio mais lento a partir do oscilador da FPGA, para programar o sinal clockspi bastou copiar e alterar ligeiramente o código. Como o relógio em questão deveria ser sempre um sinal exterior, de saída da FPGA, precisando no entanto de ser utilizado no interior do programa como um sinal de entrada, optou-se por fazer uma identificação de igualdade entre este sinal e um sinal interno denominado clock50khz,. Assim, em vez de se programar directamente o clockspi, programou-se este último, segundo o código implementado no primeiro trabalho, para uma frequência de 50kHz, suportada pelo ADC utilizado (os valores aconselhados na datasheet estão entre 0.9MHz e 10kHz). Como o código implementado já foi descrito no relatório do trabalho de laboratório anterior, não se apresenta, por agora, o código em questão. É de salientar que nesta fase do projecto foram cometidos dois erros, posteriormente corrigidos. Primeiro, a frequência implementada inicialmente era muito elevada, da ordem do MHz e para além disso, o clockspi havia sido inicialmente definido como um sinal exterior inout, erros que se corrigiram da forma descrita acima na sessão de laboratório em que se comunicou pela primeira vez com o ADC. Posteriormente decidiu-se implementar as várias fases do protocolo SPI segundo um diagrama de estados. Para tal definiram-se os vectores internos state (de dois bits), PALAVRA (de quatro bits), AQUISICAO (de 13 bits), count50khz (de 25 bits). O objectivo era ir variando o valor de state em cada fase da comunicação do protocolo SPI, guardar a palavra de controlo para o ADC (de quatro bits) no vector PALAVRA, guardar o byte fornecido pelo ADC no vector AQUISICAO e programar um contador de 50kHz para definir temporalmente determinadas mudanças de valor das variáveis em questão. Foram ainda definidos dois sinais internos de um bit, dout_tmp e cs_tmp.

4 Projecto e implementação do protocolo SPI Como foi referido acima, as trocas de informação entre a FPGA e o ADC são feitas no flanco negativo do relógio. Assim, foi necessário criar dois processos que corressem em paralelo. O primeiro consistiu na programação do protocolo para transições em flanco positivo. O segundo, na actualização dos valores de saída da FPGA, dout_fpga e cs_fpga, para cada flanco negativo de relógio através das variáveis internas dout_tmp e cs_tmp programadas no primeiro processo. Decidiu-se que após o reset se devia definir o valor da PALAVRA, (1101 para o modo que se pretende usar no ADC), colocar a variável state no valor inicial 0 bem como o contador de 50kHz a 0. Nesta fase o sinal cs_tmp é posto a high. Na PALAVRA, que é o comando que é enviado da FPGA para o ADC para permitir a aquisição de valores, o primeiro e o último bit vêm a high para garantir a inicialização e que o bit mais significativo da aquisição da tensão em valores digitais vêm em primeiro lugar para a placa, respectivamente. O protocolo SPI encontra-se então implementado da seguinte forma: para cada transição de flanco positivo do relógio, o contador de 50kHz incrementa; o programa começa no estado 0. Aí, espera-se que o contador tenha o valor 1, instante em que se coloca cs_tmp a low, o bit mais significativo da palavra de comando na linha dout_tmp, deslocam-se os valores que preenchem o vector PALAVRA para a esquerda e muda-se de estado. No estado 1, colocam-se os restantes bits da palavra de controlo na linha dout_tmp (durante três flancos de relógio é colocado o bit mais significativo do vector PALAVRA na linha e de seguida deslocados para a esquerda o valores que o preenchem) e posteriormente, muda-se de estado. No estado 3, os bits da saída de dados do ADC din_fpga (12 bits de dados e um bit nulo sem valor informativo) são colocados em instantes sucessivos no vector AQUISICAO, de uma forma semelhante à utilizada para colocar a palavra de comando na linha dout_fpga. Como a FPGA pode receber a informação para transições de flanco positivo, não é necessário proceder como no caso de dout_fpga e cs_fpga. Quando o vector se encontra preenchido, passa-se ao estado 3, onde o cs_tmp é novamente colocado a high para que cessem as comunicações, permanecendo o programa neste estado indefinidamente. É de salientar que também aqui foi cometido um erro, pois inicialmente o protocolo foi implementado apenas para transições de relógio de flanco positivo, corrigindo-se este erro na sessão em que se comunicou com o ADC. Nesta fase do projecto, o código era o seguinte: --importação de bibliotecas library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --declaração de variáveis externas entity SPI is Port ( din_fpga : in STD_LOGIC; dout_fpga : out STD_LOGIC; reset : in STD_LOGIC; cs_fpga : out STD_LOGIC; clock50mhz : in STD_LOGIC; clockspi : out STD_LOGIC); end SPI;

5 Projecto e implementação do protocolo SPI architecture Behavioral of SPI is --definição de variáveis internas signal PALAVRA: STD_LOGIC_VECTOR (3 downto 0); signal AQUISICAO: STD_LOGIC_VECTOR (12 downto 0); signal clock50khz : STD_LOGIC; signal count50khz : STD_LOGIC_VECTOR (24 downto 0); signal count: STD_LOGIC_VECTOR (24 downto 0); signal state : STD_LOGIC_VECTOR (1 downto 0); signal dout_tmp : STD_LOGIC; signal cs_tmp : STD_LOGIC; begin --identificação de clockspi com clock10khz clockspi <= clock10khz; --implementação de um relógio de 50kHz main: process (clock50mhz, reset) begin if reset='1' then count <= (others =>'0'); clock50khz <= '1'; elsif rising_edge(clock50mhz) then end process; --implementação do protocolo SPI count <= count + 1; if count = 500 then clock50khz <= '0'; if count = 1000 then clock50khz <= '1'; count <= (others =>'0'); --actualização de dout_fpga e cs_fpga em transições de --flanco negativo do relógio SPI process (clock50khz) begin if falling_edge(clock50khz) then dout_fpga <= dout_tmp; cs_fpga <= cs_tmp; end process;

6 Projecto e implementação do protocolo SPI process (reset, clock50khz) begin --inicialização de state a 0, do vector PALAVRA a 1101(comando do --ADC), do contador de 50kHz a 0; colocação de cs_fpga a 1 através --de cs_fpga_tmp if reset='1' then state <= "00"; PALAVRA <= "1101"; count50khz <= (others =>'0'); cs_tmp <= '1'; --para cada flanco positivo de relógio elsif rising_edge(clock50khz) then --contador incrementa count50khz <= count50khz + 1; --no estado 0 iniciam-se as comunicações através da --colocação de cs_tmp a low, envia-se o start bit (primeiro bit da --palavra de controlo do ADC) e muda-se de estado if state= "00" then if count50khz = 1 then cs_tmp <= '0'; dout_tmp <= PALAVRA(3); PALAVRA(3 downto 1) <= PALAVRA (2 downto 0); State <= "01"; --no estado 1 enviam-se os restantes bits de controlo e --muda-se de estado if state= "01" then dout_tmp <= PALAVRA(3); PALAVRA(3 downto 1) <= PALAVRA (2 downto 0); if count50khz = 4 then state <= "10";

7 Projecto e implementação do protocolo SPI --no estado 2 recebe-se a palavra do ADC e muda-se de estado if state = "10" then AQUISICAO(0) <= din_fpga ; AQUISICAO(12 downto 1) <= AQUISICAO(11 downto 0); if count50khz = 18 then state <= "11"; --no estado três cessam as comunicações com o ADC através da --colocação de cs_fpga a high; permenece-se no estado 3 --indefinidamente if state = "11" then cs_tmp <= '1'; end if ; end Behavioral; end process; Depois de implementado o projecto, este foi testado, recorrendo a um ficheiro de teste gerado pelo programa, configurado de forma a gerar um relógio de 50MHz e um sinal de reset de forma a poder desencadear o funcionamento do código projectado anteriormente. O teste em questão foi corrido e verificou-se o bom funcionamento do circuito simulado, encontrando-se o resultado na Figura 2. Figura 2 Simulação de 1000µs do circuito implementado (foi omitida a variável din_fpga, que como ainda não se havia comunicado com o ADC não variava, bem como o relógio de 50MHz e o reset) Pode-se verificar o bom funcionamento de todas as variáveis em questão. Se se tiver em atenção a unidade da simulação, em µs, pode-se verificar que o relógio tem um período de 20ms o que equivale à frequência desejada de 50kHz. Por outro lado, é visível que no instante em que o chip select vai a low se inicia e comunicação e começam a ser enviados os bits de controlo, sempre para flancos de relógio negativos. Depois de enviados os quatro bits 1101, verifica-se a existência de um intervalo equivalente a treze flancos positivos para a transição da informação do ADC para a FPGA e imediatamente de seguida o chip select volta a high, na transição seguinte de flanco negativo do relógio.

8 Projecto e implementação do funcionamento dos displays de sete segmentos Embora não se consiga ver a continuação da simulação, verificou-se que este sinal permanecia constante a high indefinidamente. Assim, uma vez verificado o bom funcionamento do circuito simulado, passou-se à fase seguinte da programação. 2ª e 3ª sessões de laboratório Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL; Kit SPARTAN 3. Como foi referido na introdução deste trabalho, pretendia-se, para além de guardar o valor da aquisição do ADC num vector, visualizar essa aquisição no mostrador LCD/LED da placa SPARTAN 3. Optou-se pela primeira hipótese, já que assim a visualização do resultado da aquisição seria mais fácil. Como é possível concluir através da observação da Figura 1, constante na introdução deste trabalho, o ADC envia um bit inicial, não informativo, neste caso para o vector AQUISICAO e só depois envia doze bits, para o mesmo vector, informação referente à conversão de um sinal analógico constante num sinal digital. Eram estes doze bits que se pretendiam visualizar, de alguma forma, no LCD da placa SPARTAN3. Decidiu-se que se iriam agrupar estes bits em três grupos de quatro bits e exprimir o valor que cada grupo representava em hexadecimal, usando-se apenas três dos quatro displays de sete segmentos existentes na placa. Para compreender o funcionamento dos displays e a forma como deveriam ser programados para executarem o que se pretendia, consultou-se o manual da placa SPARTAN 3. Também foi facultado um programa, clock.vhd, já implementado, cuja função era gerar um sinal de 1MHz, um sinal de um khz a partir do anterior e um sinal de 1Hz a partir do segundo, e usando este último sinal ir incrementado uma variável, enviada para o display. Quando se copiou o código descrito para um projecto à parte e o respectivo ficheiro clock.ucf, pode-se verificar, através da passagem do programa para a placa FPGA SPARTAN 3 o valor dos displays a incrementar em cada segundo. Para além disso foi fornecido um ficheiro, associado ao programa clock.vhd, com a explicação da forma como se deviam endereçar os portos dos displays. Daqui retiraram-se dois esquemas que constam nas Figuras 3 e 4. Figura 3 Esquema das palavras de endereçamento para os displays de sete segmentos

9 Projecto e implementação do funcionamento dos displays de sete segmentos Figura 4 Diagrama temporal do funcionamento dos displays de sete segmentos Constatou-se assim que para aceder aos displays era necessário actualizar primeiro uma palavra de sete bits, que decide que segmentos devem acender e posteriormente actualizar uma palavra de quatro bits que postula qual o display em que esses segmentos devem acender. Estas palavras são activas a low. A primeira palavra corresponde a uma sequência abcdefg (e um bit adicional se se pretender utilizar o ponto, o que não é o caso) mapeada na Figura 3. A segunda palavra deve ser igual a 0111, 1011, 1101 e 1110 para activar respectivamente o display AN3, AN2, AN1 e AN0. É de salientar que de cada vez que se acende um display ele deve ser apagado no instante seguinte, como se pode observar no diagrama temporal e que por outro lado, estes dispositivos não dispõem de memória e portanto, para que se consiga visualizar alguma coisa, é necessário que os displays estejam sempre a ser endereçados. Uma vez compreendido o funcionamento destes dispositivos, decidiu-se que se faria o comando dos displays no terceiro estado, a partir do qual o valor do chip select permanece a high. Acrescentaram-se mais dois sinais externos de saída de respectivamente quatro e sete bits, digit e seg, o primeiro para endereçar caracteres e o segundo, segmentos. Foi ainda acrescentado um vector interno de quatro bits curr para ser actualizado de acordo com os troços de quatro bits da palavra adquirida e dois sinais definidos como STATE_TYPE que variavam de S1 a S8, de forma a se poder definir uma série de estados dentro do estado 3, para em cada um deles endereçar, ligando e desligando, os quatro caracteres. Tinha-se decidido utilizar, para visualizar a aquisição, apenas três dos quatro caracteres existentes, mas por questões do controlo da implementação, programou-se também o quarto caracter para ter um valor constante igual a A. Como a codificação do valor que deve assumir o sinal seg é semelhante para cada estado, definiu-se um modulo VHDL à parte, no qual se implementou exclusivamente este descodificador, de uma forma muito semelhante à que havia sido implementada no projecto clock.vhd.

10 Projecto e implementação do funcionamento dos displays de sete segmentos O código deste módulo, dependente do módulo principal era o seguinte: --importação de bibliotecas library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity dec_seg is Port ( char : in STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (6 downto 0)); end dec_seg; architecture Behavioral of dec_seg is begin --codificador para a programação dos sete segmentos process (char) begin --a cada valor de curr corresponde uma representação em hexadecimal case char is when "0000" => seg <= " " ;--0 when "0001" => seg <= " " ;--1 when "0010" => seg <= " " ;--2 when "0011" => seg <= " " ;--3 when "0100" => seg <= " " ;--4 when "0101" => seg <= " " ;--5 when "0110" => seg <= " " ;--6 when "0111" => seg <= " " ;--7 when "1000" => seg <= " " ;--8 when "1001" => seg <= " " ;--9 when "1010" => seg <= " " ;--A when "1011" => seg <= " " ;--b when "1100" => seg <= " " ;--C when "1101" => seg <= " " ;--d when "1110" => seg <= " " ;--E when "1111" => seg <= " " ;--F when others => seg <= " " ; end case ; end process; end Behavioral;

11 Projecto e implementação do funcionamento dos displays de sete segmentos Como se pode verificar foram definidos dois vectores seg e char que no módulo principal correspondem respectivamente a seg e curr. Este módulo faz a correspondência directa entre o valor em hexadecimal que o sinal char representa e a respectiva codificação para a representação num display genérico. No modulo principal foi então feita a importação do módulo do codificador e a identificação dos sinais seg e curr com os sinais do modulo dependente seg e char. Posteriormente foram implementados os estados sucessivos de endereçamento de cada caracter. Em cada estado é actualizado o valor de curr, passando-se para este vector o troço do vector AQUISICAO que se pretende representar. De cada vez que curr é actualizado, o modulo secundário é automaticamente chamado actualizando-se o sinal externo seg. De seguida actualiza-se o valor da variável digit para endereçar o caracter pretendido. No estado seguinte actualiza-se este sinal para 1111, de forma a apagar o caracter em questão. Assim, o código final deste projecto, construído da forma descrita era o seguinte: --importação de bibliotecas library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --declaração de variáveis externas entity SPI is Port ( din_fpga : in STD_LOGIC; dout_fpga : out STD_LOGIC; reset : in STD_LOGIC; cs_fpga : out STD_LOGIC; clock50mhz : in STD_LOGIC; clockspi : out STD_LOGIC); end SPI; architecture Behavioral of SPI is --importação do modulo descodificador COMPONENT dec_seg is Port ( char : in STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (6 downto 0)); end COMPONENT; --definição de variáveis internas signal PALAVRA: STD_LOGIC_VECTOR (3 downto 0); signal AQUISICAO: STD_LOGIC_VECTOR (12 downto 0); signal clock50khz : STD_LOGIC; signal count50khz : STD_LOGIC_VECTOR (24 downto 0); signal count: STD_LOGIC_VECTOR (24 downto 0); signal state : STD_LOGIC_VECTOR (1 downto 0); signal dout_tmp : STD_LOGIC; signal cs_tmp : STD_LOGIC; signal curr : STD_LOGIC_VECTOR (3 downto 0) ; --variável interna tipo state type STATE_TYPE is (S1, S2, S3, S4, S5, S6, S7, S8); signal statedisp, nextstate: STATE_TYPE;

12 Projecto e implementação do funcionamento dos displays de sete segmentos begin --identificação de clockspi com clock50khz clockspi <= clock50khz; --importação do módulo do descodificador decoder: dec_seg PORT MAP( char=>curr, seg=>seg ); --implementação de um relógio de 50kHz main: process (clock50mhz, reset) begin if reset='1' then count <= (others =>'0'); clock50khz <= '1'; elsif rising_edge(clock50mhz) then end process; count <= count + 1; if count = 500 then clock50khz <= '0'; if count = 1000 then clock50khz <= '1'; count <= (others =>'0'); --implementação do protocolo SPI --actualização de dout_fpga e cs_fpga em transições de --flanco negativo do relógio SPI process (clock50khz) begin if falling_edge(clock50khz) then dout_fpga <= dout_tmp; cs_fpga <= cs_tmp; end process;

13 Projecto e implementação do protocolo SPI process (reset, clock50khz) begin --inicializações if reset='1' then state <= "00"; PALAVRA <= "1101"; count50khz <= (others =>'0'); cs_tmp <= '1'; digit <= (others => '1') ; curr <= (others => '0') ; nextstate <= S1; --para cada flanco positivo de relógio elsif rising_edge(clock50khz) then --contador incrementa count50khz <= count50khz + 1; --no estado 0 iniciam-se as comunicações através da --colocação de cs_tmp a low, envia-se o start bit (primeiro bit da --palavra de controlo do ADC) e muda-se de estado if state= "00" then if count50khz = 1 then cs_tmp <= '0'; dout_tmp <= PALAVRA(3); PALAVRA(3 downto 1) <= PALAVRA (2 downto 0); State <= "01"; --no estado 1 enviam-se os restantes bits de controlo e --muda-se de estado if state="01" then dout_tmp <= PALAVRA(3); PALAVRA(3 downto 1) <= PALAVRA (2 downto 0); if count50khz = 4 then state <= "10";

14 Projecto e implementação do protocolo SPI --no estado 2 recebe-se a palavra do ADC e muda-se de estado if state = "10" then AQUISICAO(0) <= din_fpga ; AQUISICAO(12 downto 1) <= AQUISICAO(11 downto 0); if count50khz = 18 then state <= "11"; --no estado três cessam as comunicações com o ADC através da --colocação de cs_fpga a high; permenece-se no estado 3 --indefinidamente, onde se passa constantemente a aquisição --para os displays de sete segmentos if state = "11" then --cessa a comunicação com o ADC; em cada flanco positivo o --sinal statedisp é actualizado para nextstate cs_tmp <= '1'; statedisp <=nextstate; --no primeiro estado, é escrito um A no display AN3 if statedisp = S1 then curr <= "1010"; digit <= "0111"; nextstate <= S2; --no segundo estado, o display AN3 é apagado elsif statedisp = S2 then digit <= "1111"; nextstate <= S3; --no terceiro estado, são escritos os bits das posições 11 --a 8 do vector aquisição no display AN2 elsif statedisp = S3 then curr <= AQUISICAO(11 downto 8); digit <= "1011"; nextstate <= S4; --no quarto estado, o display AN2 é apagado elsif statedisp = S4 then digit <= "1111"; nextstate <= S5;

15 Projecto e implementação do protocolo SPI --no quinto estado, são escritos os bits na posição 7 a 4 --do vector aquisição no display AN1 elsif statedisp = S5 then curr <= AQUISICAO(7 downto 4); digit <= "1101"; nextstate <= S6; --no sexto estado, o display AN1 é apagado elsif statedisp = S6 then digit <= "1111"; nextstate <= S7; --no sétimo estado, são escritos os quatro bits menos --significativos do vector aquisição no display AN0 elsif statedisp = S7 then curr <= AQUISICAO(3 downto 0); digit <= "1110"; nextstate <= S8; end Behavioral; --no oitavo estado, o display AN0 é apagado elsif statedisp = S8 then digit <= "1111"; nextstate <= S1; --o programa mantém-se no estado 3 indefinidamente, --enviando continuamente sinais de endereçamento para os --displays end process; É de salientar que foi esta a parte mais morosa do projecto, já que se demorou algum tempo a compreender o funcionamento dos displays de sete segmentos, tendo-se cometido alguns erros, como a troca de ordem de actualização das variáveis seg e digit e o facto de inicialmente não se ter compreendido que os displays têm que ser continuamente endereçados para se acenderem.

16 Projecto e implementação do protocolo SPI Para uma melhor visualização do funcionamento do programa na sua versão definitiva, foi construído um diagrama de estados. Figura 5 Diagrama de estados do programa implementado Depois de implementado o projecto, este foi testado, recorrendo ao habitual ficheiro de teste. Verificou-se o bom funcionamento do circuito simulado, encontrando-se o resultado na Figura 5. Como nesta altura ainda não se tinha comunicado com o ADC a palavra AQUISICAO ainda não se encontrava definida. Assim, para fazer o teste, substituíram-se os troços do vector AQUISICAO que actualizavam o vector curr por sequências fixas de quatro bits: 0101, 1011 e 1001, equivalentes a 5, b e 9 em hexadecimal.

17 Projecto e implementação do protocolo SPI Figura 6 Simulação do funcionamento dos sinais digit e seg Pode-se verificar o bom funcionamento das variáveis em questão. No flanco positivo anterior ao sinal cs_fpga voltar a high (num flanco negativo de relógio) o sinal digit é actualizado para o primeiro display (AN3) e no mesmo instante é actualizado o sinal seg com abcdefg equivalente ou seja um A. De seguida o valor de digit é actualizado para 1111 o que equivale a desligar o caracter que anteriormente havia sido ligado. De seguida este comportamento repete-se para AN2, AN1 e AN0, para os quais se verificam os caracteres definidos por seg 5, b e 9 como se pretendia. Embora não se consiga ver a continuação da simulação, verificou-se que este padrão se repetia indefinidamente, como também se pretendia. Assim, uma vez verificado o bom funcionamento do circuito simulado, voltou-se a programar a actualização de curr segundo os valores do vector AQUISICAO.

18 4ª sessão de laboratório Aquisição de dados com um ADC com interface SPI MPC3202 Material utilizado: Ambiente de programação XILINX ISE MPLAB e compilador VHDL ; Kit SPARTAN 3; Multímetro; Osciloscópio; Ligações unifilares; Breadboard; Fonte de alimentação DC e gerador de sinais; Dispositivo ADC de 12 bits do tipo aproximações sucessivas com interface SPI MPC3202. Uma vez verificado o bom funcionamento do código implementado, pretendiam-se obter amostras individuais de um sinal analógico para cada pressão manual no botão de reset da placa e visualizar essas aquisições no LCD da placa SPARTAN 3. Assim, programou-se o ficheiro.ucf, que especifica os portos da placa para os quais se devem enviar o sinais emulados. O relógio de 50Mhz, bem como os sinais de seg e digit devem ser enviados para portos específicos, postulados no manual da placa. Para os restantes sinais escolheram-se os pinos mais acessíveis e para o botão de reset escolheu-se o botão de pressão da placa L14. O ficheiro depois de configurado era o seguinte: NET "clock50mhz" LOC = "T9"; NET "reset" LOC ="L14"; NET "digit<0>" LOC = "D14"; NET "digit<1>" LOC = "G14"; NET "digit<2>" LOC = "F14"; NET "digit<3>" LOC = "E13"; NET "seg<0>" LOC = "N16"; NET "seg<1>" LOC = "F13"; NET "seg<2>" LOC = "R16"; NET "seg<3>" LOC = "P15"; NET "seg<4>" LOC = "N15"; NET "seg<5>" LOC = "G13"; NET "seg<6>" LOC = "E14"; NET "din_fpga" LOC = "C5"; NET "dout_fpga" LOC = "C7"; NET "cs_fpga" LOC = "C9"; NET "clockspi" LOC = "A3";

19 Aquisição de dados com um ADC com interface SPI MPC3202 Posteriormente colocou-se o ADC na breadboard ligando-o à placa SPARTAN 3 com as linhas de comunicação necessárias (para além das ligações aos pinos do cs_fpga, dout_fpga, din_fpga e clockspi, também se ligou a massa do dispositivo à massa da placa e a alimentação do dispositivo à fonte de 3.3V da placa), consultado a datasheet deste dispositivo. De seguida, ligou-se a fonte DC variável (máx. 15V) da placa de alimentação à entrada CH0 do ADC, tendo o cuidado de colocar a fonte no valor mínimo da tensão, aproximadamente 0V, já que por razões evidentes a tensão do sinal analógico de input do ADC não pode exceder a tensão de alimentação/referência. Para se verificar se a comunicação se estava a efectuar correctamente, ligou-se a cada sinal da FPGA (cs_fpga, dout_fpga, din_fpga e clockspi) um canal do osciloscópio e observou-se o andamento dos sinais em questão, carregando o programa na placa SPARTAN 3 e no botão de reset L14. Foi tirada uma fotografia do ecrã do osciloscópio para uma determinada aquisição (o display mostrava o valor 021 para o valor de tensão mínimo na base de alimentação). Foi também feita uma aquisição dos valores de cada canal para a referida amostragem, com os quais se construiu um gráfico. Ambos constam nas Figuras 7 e 8. Tensão (V) T em p o (s) Figura 7 Andamento dos sinais do protocolo SPI (cs a azul claro, dout a amarelo, din a azul escuro e o relógio a magenta) Figura 8 Imagem do andamento dos sinais do protocolo SPI (50µs/Div)

20 Aquisição de dados com um ADC com interface SPI MPC3202 Verifica-se que os sinais em questão têm o comportamento previsto. Pode-se constatar que de facto o sinal de relógio tem uma frequência de 50kHz e que os sinais cs_fpga, dout_fpga e din_fpga são modificados para flancos negativos de relógio (este último é enviado para flancos negativos de relógio, como se pode ver na Figura 1, embora seja recebido pela FPGA em flancos positivos). Observa-se o envio da palavra 1101 nitidamente e também se pode observar a recepção da uma palavra , equivalente à descrita no display 021. O sinal cs_fpga está também a low e a high nos instantes previstos. Assim, constatou-se o bom funcionamento do programa. Uma vez ultrapassada a fase da implementação e montagem do projecto, puderam-se recolher uma série de amostras individuais do sinal analógico, para pressões sucessivas no reset da placa e variações muito suaves da tensão de entrada deste dispositivo. Os valores da tensão de entrada foram medidos com um multímetro e registados os seus valores e a respectiva leitura no display da placa. Foram retirados 47 valores que são apresentados no gráfico representado na Figura 9. O objectivo desta leitura exaustiva de valores de entrada e saída do ADC era a execução de uma recta de calibração do ADC e a verificação da boa precisão do dispositivo ADC utilizado. Para tal, no mesmo gráfico apresenta-se a recta teórica de calibração do ADC, que provém de uma proporcionalidade directa entre a tensão de referência (3.3 V) e o número máximo possível gerado por 12 bits: DOC= 4095.V IN V DD com DOC o código digital de saída do ADC, V IN a tensão analógica de entrada e V DD a tensão de alimentação/referência.

21 Análise dos resultados O gráfico obtido da relação entre os valores de tensão de entrada no ADC medidos pelo multímetro e os valores lidos no display de 7 segmentos, juntamente com a recta teórica de calibração do ADC, é o seguinte: Figura 9 Recta de calibração do ADC utilizado Pode-se observar a vermelho a recta teórica, que corresponde a uma proporcionalidade directa tendo em conta que o valor mais alto com 12 bits (em decimal corresponde a 4095) equivale à tensão de referência, V ref = 3.3 V. Pode-se observar que todos os valores obtidos se encontram sobre a recta, sem nenhuma excepção, o que permite verificar o bom funcionamento do circuito incorporado e do código implementado e descarregado para a placa. Foi assumido um erro de V nas tensões de entrada, visto corresponder à menor divisão da escala do aparelho usado para leitura das tensões, o multímetro.

22 Conclusões Com este trabalho, pode-se compreender o funcionamento do protocolo SPI do ADC utilizado. Para além disto, o projecto permitiu o contacto com uma placa FPGA SPARTAN 3, já iniciado no trabalho de laboratório anterior. Desta vez este contacto foi aprofundado, tendo-se usado uma série de saídas da placa, botões de pressão e os displays de sete segmentos. A aprendizagem desta parte do projecto, mais relacionada com o hardware, foi fundamental. Por outro lado, pode-se aprofundar o conhecimento sobre a programação em VHDL, que ocupou a maior parte do tempo dedicado a este trabalho. Nela aprenderam-se uma série de novos comandos e de regras para construção de um circuito VHDL, cujo modo de funcionamento difere significativamente das outras linguagens de programação até aqui aprendidas. O projecto também permitiu uma nova abordagem aos circuitos ADC, através da interacção master/slave, regulada pelo protocolo SPI. Foi verificado o bom funcionamento do programa através de múltiplos testes na fase de implementação do circuito master e teste do ADC, tendo-se verificado a uma relação de linearidade entre os valores digitais de saída do dispositivo e valores analógicos do sinal de tensão constante de entrada, condizente com a recta de calibração pretendida. De uma forma geral, pode-se concluir que foram cumpridos os objectivos deste trabalho e apreendidas os novos conhecimentos a ele associados. Bibliografia Manual do kit SPARTAN 3; datasheet do integrado ADC MCP 3202; código clock.vhd, clock.ucf e documento anexo.

CIRCUITO DE AMOSTRAGEM E RETENÇÃO

CIRCUITO DE AMOSTRAGEM E RETENÇÃO Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica CIRCUITO DE AMOSTRAGEM E RETENÇÃO Laboratório I Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes,

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Sistemas Digitais Reconfiguráveis Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Liliana Rocha Nicolau Lopes da Costa 27611 Ano Lectivo de 2006/2007 Universidade de Aveiro 1. Objectivos

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Amplificadores Operacionais

Amplificadores Operacionais Análise de Circuitos LEE 2006/07 Guia de Laboratório Trabalho 2 Amplificadores Operacionais INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores Paulo Flores 1 Objectivos

Leia mais

CONTROLO DE UM STEP MOTOR

CONTROLO DE UM STEP MOTOR Relatório de Microprocessadores 2007/2008 Engenharia Física Tecnológica CONTROLO DE UM STEP MOTOR Laboratório III Trabalho realizado por: André Cunha, nº53757 João Pereira, nº 55315 Grupo 3; 5ªfeira 13:00-16:00h

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

O protocolo MODBUS define também o tipo diálogo entre os equipamentos, define por exemplo quem pode enviar dados e em que altura.

O protocolo MODBUS define também o tipo diálogo entre os equipamentos, define por exemplo quem pode enviar dados e em que altura. Universidade de Aveiro Departamento de Engenharia Mecânica Informática Industrial 2010/2011 5 PROTOCOLO DE COMUNICAÇÃO MODBUS 5.1 Protocolo de comunicação MODBUS Este protocolo foi proposto em 1979 pela

Leia mais

Espectro da Voz e Conversão A/D

Espectro da Voz e Conversão A/D INSTITUTO SUPERIOR TÉCNICO LICENCIATURA EM ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES GUIA DO 1º TRABALHO DE LABORATÓRIO DE SISTEMAS DE TELECOMUNICAÇÕES I Espectro da Voz e Conversão A/D Ano Lectivo de

Leia mais

Ministério das Finanças Instituto de Informática. Departamento de Sistemas de Informação

Ministério das Finanças Instituto de Informática. Departamento de Sistemas de Informação Ministério das Finanças Instituto de Informática Departamento de Sistemas de Informação Assiduidade para Calendários Específicos Junho 2010 Versão 6.0-2010 SUMÁRIO 1 OBJECTIVO 4 2 ECRÃ ELIMINADO 4 3 NOVOS

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Manual de funcionamento

Manual de funcionamento INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA ÁREA DEPARTAMENTAL DE ENGENHARIA DE ELECTRÓNICA E TELECOMUNICAÇÕES E DE COMPUTADORES Manual de funcionamento Setembro de 2012 Índice Índice Capítulo 1 - Medição

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

CONTABILIDADE GERAL e GESTÃO PREVISIONAL PARA ESNL Versões 5.220/5.230

CONTABILIDADE GERAL e GESTÃO PREVISIONAL PARA ESNL Versões 5.220/5.230 CONTABILIDADE GERAL e GESTÃO PREVISIONAL PARA ESNL Versões 5.220/5.230 Para as Entidades até agora classificadas como IPSS utilizadoras da Aplicação de Contabilidade PMR, vimos disponibilizar a passagem

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos 3 Objectivos - Configurar os parâmetros associados à comunicação série assíncrona. - Saber implementar um mecanismo de menus para efectuar a entrada e saída de dados, utilizando como interface um terminal

Leia mais

Parallel to Serial Shifting IN

Parallel to Serial Shifting IN Parallel to Serial Shifting IN Objectivo: Com o circuito integrado CD4021B Quando num projecto o número de sensores digitais é superior ao número de pinos de entradas digitais disponíveis no microcontrolador

Leia mais

Manual do Utilizador

Manual do Utilizador Faculdade de Ciências e Tecnologia da Universidade de Coimbra Departamento de Engenharia Electrotécnica e Computadores Software de Localização GSM para o modem Siemens MC35i Manual do Utilizador Índice

Leia mais

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz.

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz. SISTEMAS DIGITAIS Memórias Alterado para lógica positiva por Guilherme Arroz Sistemas Digitais 1 Tipos de memórias Existem vários tipos de memórias em sistemas digitais As memórias internas dos dispositivos,

Leia mais

Manual Técnico Interface Modbus

Manual Técnico Interface Modbus Manual Técnico Interface Modbus RTA Interface Modbus 05/2007-1 - Histórico de Revisões Ver. Num. Data Responsável Observações 1.000 17/05/07 Marcos Romano Criação Inicial RTA Rede de Tecnologia Avançada

Leia mais

GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM

GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM 1. RESUMO GUIA DE LABORATÓRIO LABORATÓRIO 2 LEI DE OHM Validação, por parte dos alunos, da expressão R = ρ RLApara o cálculo da resistência de um condutor cilíndrico. Determinação da resistência total

Leia mais

Primeiros "computadores" digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna

Primeiros computadores digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna Execução de um O executa um Quais os seus componentes? Como estes se organizam e interactuam? entrada de dados processador, memória, input bits periféricos,etc bits saída de dados output Primeiros "es"

Leia mais

Ficha 1. Conjunto de informação básica, essencial para utilizar sensores e actuadores.

Ficha 1. Conjunto de informação básica, essencial para utilizar sensores e actuadores. Ficha 1 Conjunto de informação básica, essencial para utilizar sensores e actuadores. Sítio: Elearning UAb Unidade curricular: FATAC - Sensores e Actuadores (DMAD 2013-14) Livro: Ficha 1 Impresso por:

Leia mais

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos TUTORIAL Barra de LEDs Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Sistemas Digitais Reconfiguráveis

Sistemas Digitais Reconfiguráveis Departamento de Electrónica Telecomunicações e Informática Dezembro de 2006 Interface RS-232 para a TRENZ Daniel Baptista Nº 28703 O projecto O projecto consiste na construção da interface RS-232 e na

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

Novo Formato de Logins Manual de Consulta

Novo Formato de Logins Manual de Consulta Gestão Integrada de Acessos Novo Formato de Logins Manual de Consulta Gestão Integrada de Acessos Histórico de Alterações Versão Descrição Autor Data 1.0 Versão inicial DSI/PPQ 2014-07-11 Controlo do documento

Leia mais

- SISTEMAS DIGITAIS II

- SISTEMAS DIGITAIS II FEI NE772/7720-2.a PROVA - SISTEMAS DIGITAIS II - 29/11/2006 Turma A Duração 80min Prova sem consulta - Permitido o uso de calculadora Interpretação faz parte da prova. Nome...Nota... N.o N.o Lista 1.a

Leia mais

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

Projecto Final de Curso 2004/2005 RESPIFE. Reengenharia de Sistema Produtivo Integrado para Fins Educacionais. Guia do Robot

Projecto Final de Curso 2004/2005 RESPIFE. Reengenharia de Sistema Produtivo Integrado para Fins Educacionais. Guia do Robot RESPIFE Reengenharia de Sistema Produtivo Integrado para Fins Educacionais Guia do Robot Alunos: Filipe Barbosa de Sá Pinto, nº. 0005030955 Rui Alexandre da Costa Ribeiro, n.º 000503073 Docente: Armando

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Múltiplos Estágios processo com três estágios Inquérito de Satisfação Fase II

Múltiplos Estágios processo com três estágios Inquérito de Satisfação Fase II O seguinte exercício contempla um processo com três estágios. Baseia-se no Inquérito de Satisfação Fase II, sendo, por isso, essencial compreender primeiro o problema antes de começar o tutorial. 1 1.

Leia mais

CIRCUITOS E SISTEMAS ELECTRÓNICOS

CIRCUITOS E SISTEMAS ELECTRÓNICOS INSTITUTO SUPERIOR DE CIÊNCIAS DO TRABALHO E DA EMPRESA Enunciado do 2º Trabalho de Laboratório CIRCUITOS E SISTEMAS ELECTRÓNICOS MODELAÇÃO E SIMULAÇÃO DE CIRCUITOS DE CONVERSÃO ANALÓGICO-DIGITAL E DIGITAL-ANALÓGICO

Leia mais

Placa Acessório Modem Impacta

Placa Acessório Modem Impacta manual do usuário Placa Acessório Modem Impacta Parabéns, você acaba de adquirir um produto com a qualidade e segurança Intelbras. A Placa Modem é um acessório que poderá ser utilizado em todas as centrais

Leia mais

Interrupções. As interrupções são casos especiais de chamadas de procedimentos.

Interrupções. As interrupções são casos especiais de chamadas de procedimentos. Interrupções Uma interrupção é equivalente a uma chamada de procedimento. A chamada é equivalente a um CALL gerado pela execução de uma instrução. As interrupções são casos especiais de chamadas de procedimentos.

Leia mais

Controlador de Interrupções com Prioridades Rotativas Julho de 2001

Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Trabalho realizado por: Filipe Moreira Ricardo Almeida Controlador de Interrupções com Prioridades Rotativas... 1 Introdução... 1 Descrição

Leia mais

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:

Leia mais

Plataforma de Benefícios Públicos Acesso externo

Plataforma de Benefícios Públicos Acesso externo Índice 1. Acesso à Plataforma... 2 2. Consulta dos Programas de Beneficios Públicos em Curso... 3 3. Entrar na Plataforma... 4 4. Consultar/Entregar Documentos... 5 5. Consultar... 7 6. Entregar Comprovativos

Leia mais

Quadro de consulta (solicitação do mestre)

Quadro de consulta (solicitação do mestre) Introdução ao protocolo MODBUS padrão RTU O Protocolo MODBUS foi criado no final dos anos 70 para comunicação entre controladores da MODICON. Por ser um dos primeiros protocolos com especificação aberta

Leia mais

Diagrama de transição de Estados (DTE)

Diagrama de transição de Estados (DTE) Diagrama de transição de Estados (DTE) O DTE é uma ferramenta de modelação poderosa para descrever o comportamento do sistema dependente do tempo. A necessidade de uma ferramenta deste tipo surgiu das

Leia mais

Modelo Cascata ou Clássico

Modelo Cascata ou Clássico Modelo Cascata ou Clássico INTRODUÇÃO O modelo clássico ou cascata, que também é conhecido por abordagem top-down, foi proposto por Royce em 1970. Até meados da década de 1980 foi o único modelo com aceitação

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada.

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada. Editor de Ladder para VS7 Versão Teste O editor de ladder é um software de programação que permite que o VS7 e o µsmart sejam programados em linguagem de contatos. Esse editor está contido na pasta Público

Leia mais

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015 Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com

Leia mais

SISTEMA DE INFORMAÇÃO DAS PARTICIPAÇÕES DO ESTADO

SISTEMA DE INFORMAÇÃO DAS PARTICIPAÇÕES DO ESTADO SISTEMA DE INFORMAÇÃO DAS PARTICIPAÇÕES DO ESTADO SIPART (versão Setembro/2004) Manual de Utilização ÍNDICE 1. INTRODUÇÃO...3 2. ACEDER À APLICAÇÃO...4 3. CRIAR NOVO UTILIZADOR...5 4. CARACTERIZAÇÃO GERAL

Leia mais

AUTOMAÇÃO E ROBÓTICA 2002/2003

AUTOMAÇÃO E ROBÓTICA 2002/2003 AUTOMAÇÃO E ROBÓTICA 2002/2003 CONTROLO DO ELEVADOR EXISTENTE NO LABORATÓRIO ATRAVÉS DO PROGRAMA BORLAND DELPHI 7 Realizado por: Ana Luísa Martins Carla sofia Flores 1. Objectivo O objectivo deste trabalho

Leia mais

MANUAL DO UTILIZADOR

MANUAL DO UTILIZADOR MANUAL DO UTILIZADOR Versão 1.6 PÁGINA DE PESQUISA A página principal do PacWeb permite a realização de um número muito variado de pesquisas, simples, ou pelo contrário extremamente complexas, dependendo

Leia mais

Manual de Utilizador. Disciplina de Projecto de Sistemas Industriais. Escola Superior de Tecnologia. Instituto Politécnico de Castelo Branco

Manual de Utilizador. Disciplina de Projecto de Sistemas Industriais. Escola Superior de Tecnologia. Instituto Politécnico de Castelo Branco Escola Superior de Tecnologia Instituto Politécnico de Castelo Branco Departamento de Informática Curso de Engenharia Informática Disciplina de Projecto de Sistemas Industriais Ano Lectivo de 2005/2006

Leia mais

PdP. Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006

PdP. Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006 TUTORIAL Servo-motor Autor: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 26/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Programação 2ºSemestre MEEC - 2010/2011. Programação 2º Semestre 2010/2011 Enunciado do projecto

Programação 2ºSemestre MEEC - 2010/2011. Programação 2º Semestre 2010/2011 Enunciado do projecto Mestrado Integrado em Engenharia Electrotécnica e de Computadores Programação 2º Semestre 2010/2011 Enunciado do projecto O projecto a desenvolver pelos alunos consistirá numa sistema de monitorização,

Leia mais

Cátia Homem, 9 de Janeiro de 2008 Página 1

Cátia Homem, 9 de Janeiro de 2008 Página 1 Escola Secundária Vitorino Nemésio Física e Química A Componente de física 11º ano Actividade Laboratorial 2.1 Osciloscópio Nome: Turma: Nº: Classificação: docente: 1. Questão problema: Perante o aumento

Leia mais

Copyright 2008 GrupoPIE Portugal, S.A.

Copyright 2008 GrupoPIE Portugal, S.A. WinREST Sensor Log A Restauração do Séc. XXI WinREST Sensor Log Copyright 2008 GrupoPIE Portugal, S.A. 2 WinREST Sensor Log Índice Índice Pag. 1. WinREST Sensor Log...5 1.1. Instalação (aplicação ou serviço)...6

Leia mais

Exemplo de aplicação Car Parking 1in1out

Exemplo de aplicação Car Parking 1in1out Animator Exemplo de aplicação Car Parking 1in1out Neste documento será apresentado um exemplo de aplicação de um parque de estacionamento com uma entrada, uma saída, e três lugares livres de estacionamento.

Leia mais

Conversão Analógica Digital

Conversão Analógica Digital Slide 1 Conversão Analógica Digital Até agora, discutimos principalmente sinais contínuos (analógicos), mas, atualmente, a maioria dos cálculos e medições é realizada com sistemas digitais. Assim, precisamos

Leia mais

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação Tutorial Arquitectura de Computadores ETI IGE 02 XILINX 1. Criação de um projecto Edição e simulação

Leia mais

O AMPLIFICADOR LOCK-IN

O AMPLIFICADOR LOCK-IN O AMPLIFICADOR LOCK-IN AUTORES: MARCELO PORTES DE ALBUQUERQUE LEONARDO CORREIA RESENDE JORGE LUÍS GONZALEZ RAFAEL ASTUTO AROUCHE NUNES MAURÍCIO BOCHNER FEVEREIRO 2008 SUMÁRIO RESUMO... 3 1. INTRODUÇÃO...

Leia mais

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre ACENDENDO AS LUZES Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre Projeto 1 LED piscante Neste capitulo, todos os projetos utilizam luzes LED s de diversas formas. Em relação ao hardware,

Leia mais

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA INTRODUÇÃO À ORGANIZAÇÃO DE COMPUTADORES

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA INTRODUÇÃO À ORGANIZAÇÃO DE COMPUTADORES LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA INTRODUÇÃO À ORGANIZAÇÃO DE COMPUTADORES Prof. Dr. Daniel Caetano 2012-1 Objetivos Apresentar o funcionamento do computador Apresentar a função da memória e dos dispositivos

Leia mais

www.vwsolucoes.com Copyright 2013 VW Soluções

www.vwsolucoes.com Copyright 2013 VW Soluções 1 1. Especificação técnicas: Dimensões do módulo 4EA2SA v1.0: 100 mm x 56 mm Peso aproximado: xxx gramas (montada). Alimentação do circuito : 12 ou 24Vcc Tipo de comunicação: RS232 ou RS485 Tensão de referencia:

Leia mais

Visão Artificial Para a Indústria. Manual do Utilizador

Visão Artificial Para a Indústria. Manual do Utilizador Visão Artificial Para a Indústria Manual do Utilizador Luis Fonseca Carvalho de Matos ( luis.matos@ua.pt ) Julho de 2007 Índice de conteúdos 1. Apresentação......1 1.Conceito de Funcionamento......1 2.

Leia mais

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011 Manual Profissional BackOffice Mapa de Mesas v2011 1 1. Índice 2. Introdução... 2 3. Iniciar ZSRest Backoffice... 3 4. Confirmar desenho de mesas... 4 b) Activar mapa de mesas... 4 c) Zonas... 4 5. Desenhar

Leia mais

Comm5 Tecnologia Manual de utilização da família MI. Manual de Utilização. Família MI

Comm5 Tecnologia Manual de utilização da família MI. Manual de Utilização. Família MI Manual de Utilização Família MI ÍNDICE 1.0 COMO LIGAR O MÓDULO... pág 03 e 04 2.0 OBJETIVO... pág 05 3.0 COMO CONFIGURAR O MÓDULO MI... pág 06, 07, 08 e 09 4.0 COMO TESTAR A REDE... pág 10 5.0 COMO CONFIGURAR

Leia mais

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG.

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG. Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS Módulo x (Aula Prática) Reliance - Ashtech e Suas Aplicações Em SIG (Carlos Antunes) INTODUÇÃO O Sistema Reliance baseia-se na utilização do

Leia mais

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO 28 CAPÍTULO 5 INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO Interfaces para periféricos de armazenamento: Periféricos de armazenamento,

Leia mais

Guião do Trabalho Laboratorial Nº 11 Controlo de um Elevador Hidráulico

Guião do Trabalho Laboratorial Nº 11 Controlo de um Elevador Hidráulico SISEL Sistemas Electromecânicos Guião do Trabalho Laboratorial Nº 11 Controlo de um Elevador Hidráulico GRIS Group of Robotics and Intelligent Systems Homepage: http://www.dee.isep.ipp.pt/~gris Email:

Leia mais

GereComSaber. Disciplina de Desenvolvimento de Sistemas de Software. Sistema de Gestão de Serviços em Condomínios

GereComSaber. Disciplina de Desenvolvimento de Sistemas de Software. Sistema de Gestão de Serviços em Condomínios Universidade do Minho Conselho de Cursos de Engenharia Licenciatura em Engenharia Informática 3ºAno Disciplina de Desenvolvimento de Sistemas de Software Ano Lectivo de 2009/2010 GereComSaber Sistema de

Leia mais

Manual do Gestor da Informação do Sistema

Manual do Gestor da Informação do Sistema Faculdade de Engenharia da Universidade do Porto Licenciatura Informática e Computação Laboratório de Informática Avançada Automatização de Horários Manual do Gestor da Informação do Sistema João Braga

Leia mais

Capítulo 4. MARIE (Machine Architecture Really Intuitive and Easy)

Capítulo 4. MARIE (Machine Architecture Really Intuitive and Easy) Capítulo 4 João Lourenço Joao.Lourenco@di.fct.unl.pt Faculdade de Ciências e Tecnologia Universidade Nova de Lisboa 2007-2008 MARIE (Machine Architecture Really Intuitive and Easy) Adaptado dos transparentes

Leia mais

SAMUO APP: MANUAL DO ADMINISTRADOR

SAMUO APP: MANUAL DO ADMINISTRADOR as novas tecnologias ao serviço do desenvolvimento de projectos w w w. i m a d i p. c o m CABO VERDE: REALIZAÇÃO DE UMA ACÇÃO- PILOTO PARA A MELHORIA DA GESTÃO NUM GABINETE TÉCNICO SELECCIONADO OFITEC

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

STSE 2º Exame de Simulação e Teste de Sistemas Electrónicos (9 de Fevereiro de 2004) Duração: 1ª parte 45 minutos; 2ª parte 2 horas.

STSE 2º Exame de Simulação e Teste de Sistemas Electrónicos (9 de Fevereiro de 2004) Duração: 1ª parte 45 minutos; 2ª parte 2 horas. STSE 2º Exame de Simulação e Teste de Sistemas Electrónicos (9 de Fevereiro de 2004) Duração: 1ª parte 45 minutos; 2ª parte 2 horas Número: Nome: 1ª Parte Questionário de resposta múltipla cada resposta

Leia mais

Base de Dados para Administrações de Condomínios

Base de Dados para Administrações de Condomínios Base de Dados para Administrações de Condomínios José Pedro Gaiolas de Sousa Pinto: ei03069@fe.up.pt Marco António Sousa Nunes Fernandes Silva: ei03121@fe.up.pt Pedro Miguel Rosário Alves: alves.pedro@fe.up.pt

Leia mais

www.vwsolucoes.com Copyright 2011 VW Soluções

www.vwsolucoes.com Copyright 2011 VW Soluções 1 Apresentação O adaptador ADP.v01 é utilizado para facilitar a instalação de display Lcd 16x2 ou display Lcd 20x4 nos CLP PIC28 ou CLP PIC40 através de um flat cable de 10vias de acordo com a necessidade

Leia mais

OMNI-3MD. Placa Controladora de 3 Motores. Disponível Biblioteca para Arduino. Manual de Hardware. Outubro de 2013

OMNI-3MD. Placa Controladora de 3 Motores. Disponível Biblioteca para Arduino. Manual de Hardware. Outubro de 2013 OMNI-3MD Placa Controladora de 3 Motores Disponível Biblioteca para Arduino Manual de Hardware Outubro de 2013 Copyright 2013, SAR - Soluções de Automação e Robótica, Lda. 1 1 Índice 1 Índice... 2 2 Especificações...

Leia mais

Figura 1 - O computador

Figura 1 - O computador Organização e arquitectura dum computador Índice Índice... 2 1. Introdução... 3 2. Representação da informação no computador... 4 3. Funcionamento básico dum computador... 5 4. Estrutura do processador...

Leia mais

Representação de Dados

Representação de Dados Representação de Dados Introdução Todos sabemos que existem diferentes tipos de números: fraccionários, inteiros positivos e negativos, etc. Torna-se necessária a representação destes dados em sistema

Leia mais

Aparelhos de Laboratório de Electrónica

Aparelhos de Laboratório de Electrónica Aparelhos de Laboratório de Electrónica Este texto pretende fazer uma introdução sucinta às características fundamentais dos aparelhos utilizados no laboratório. As funcionalidades descritas são as existentes

Leia mais

Sistemas Operativos 2005/2006. Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca. Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes

Sistemas Operativos 2005/2006. Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca. Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes Sistemas Operativos 2005/2006 Arquitectura Cliente-Servidor Aplicada A Uma Biblioteca Turma 3EIC3 Grupo 5 Paulo Alexandre Fonseca Ferreira Pedro Daniel da Cunha Mendes O trabalho efectuado, consiste numa

Leia mais

Comunicação de Dados de Autenticação e Credenciais de Acesso para Resposta ao Inquérito

Comunicação de Dados de Autenticação e Credenciais de Acesso para Resposta ao Inquérito Mais informação Acesso ao Sistema de Transferência Electrónica de dados de Inquéritos (STEDI). Onde se acede ao sistema de entrega de Inquéritos? Deverá aceder ao sistema através do site do GEP www.gep.mtss.gov.pt

Leia mais

Manipulação de Células, linhas e Colunas

Manipulação de Células, linhas e Colunas Manipulação de Células, linhas e Colunas Seleccionar células Uma vez introduzidos os dados numa folha de cálculo, podemos querer efectuar alterações em relação a esses dados, como, por exemplo: apagar,

Leia mais

MICROSOFT POWERPOINT

MICROSOFT POWERPOINT MICROSOFT POWERPOINT CRIAÇÃO DE APRESENTAÇÕES. O QUE É O POWERPOINT? O Microsoft PowerPoint é uma aplicação que permite a criação de slides de ecrã, com cores, imagens, e objectos de outras aplicações,

Leia mais

Memória Flash. PdP. Autor: Tiago Lone Nível: Básico Criação: 11/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Memória Flash. PdP. Autor: Tiago Lone Nível: Básico Criação: 11/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Memória Flash Autor: Tiago Lone Nível: Básico Criação: 11/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

CGA Directa. Manual do Utilizador. Acesso, Adesão e Lista de Subscritores

CGA Directa. Manual do Utilizador. Acesso, Adesão e Lista de Subscritores CGA Directa Manual do Utilizador Acesso, Adesão e Lista de Subscritores Versão 1.00 de 10 de Março de 2008 Índice Pág. Introdução 3 Capítulo 1 Capítulo 2 Capítulo 3 Acesso Acesso 4 Adesão Adesão 5 2.1

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Acronis Servidor de Licença. Manual do Utilizador

Acronis Servidor de Licença. Manual do Utilizador Acronis Servidor de Licença Manual do Utilizador ÍNDICE 1. INTRODUÇÃO... 3 1.1 Descrição geral... 3 1.2 Política de licenças... 3 2. SISTEMAS OPERATIVOS SUPORTADOS... 4 3. INSTALAR O SERVIDOR DE LICENÇA

Leia mais

ZS Rest. Manual Avançado. Monitor de Publicidade. v2011

ZS Rest. Manual Avançado. Monitor de Publicidade. v2011 Manual Avançado Monitor de Publicidade v2011 1. Índice 2. INTRODUÇÃO... 2 3. HARDWARE... 3 1 4. DEFINIÇÃO... 4 b) Definição dos monitores... 4 c) Definições ZSRest... 4 d) Aspecto Monitor... 5 i. Sim 5

Leia mais

Orientação a Objetos

Orientação a Objetos 1. Domínio e Aplicação Orientação a Objetos Um domínio é composto pelas entidades, informações e processos relacionados a um determinado contexto. Uma aplicação pode ser desenvolvida para automatizar ou

Leia mais

Migração da Versão 4.0 para a Versão 4.1 do MSS. Versão 1.0 de 08-03-2012. Português

Migração da Versão 4.0 para a Versão 4.1 do MSS. Versão 1.0 de 08-03-2012. Português Versão 1.0 de 08-03-2012 Português www.sysdevsolutions.com Migração da versão 4.0 para a versão 4.1 do MSS NOTA: Antes de executar qualquer operação, é aconselhado que faça a exportação de todos os documentos

Leia mais

Telekit, Componentes Electrónicos S.A. - 2004. Manual Técnico do Interface GSM LEVEL GB RDIS - Sincronismo

Telekit, Componentes Electrónicos S.A. - 2004. Manual Técnico do Interface GSM LEVEL GB RDIS - Sincronismo Manual Técnico do Interface GSM LEVEL GB RDIS - Sincronismo Configuração / Parâmetros: Quando iniciar o programa, os parâmetros de configuração só ficam acessíveis quando os seus valores forem lidos a

Leia mais

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A AD / DA M-1116A *Only illustrative image./imagen meramente ilustrativa./imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 Conteúdo 1. Objetivos 3 2. Experiência

Leia mais

Manual do instalador Box Output DC Rev. 0.01.000. Figura 01 Apresentação do Box Output DC.

Manual do instalador Box Output DC Rev. 0.01.000. Figura 01 Apresentação do Box Output DC. Pág. 1/10 Apresentação Equipamento para acionamento de cargas em corrente contínua. Comunicação com outros dispositivos por rede CAN. 6 entradas digitais para acionamento local ou remoto. 6 entradas/saídas

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

ElectroControlo M01 Manual do Utilizador

ElectroControlo M01 Manual do Utilizador Manual do Utilizador Luis Panao 2015 INDICE Introdução 1 Funcionalidades 3 - Consultar 4 - Comunicar 7 - Financeiro 12 Ficha técnica 17 Luis Panao 2015 1 INTRODUÇÃO De acordo com o desafio deste projecto,

Leia mais

MicroMIX Comércio e Serviços de Informática, Lda.

MicroMIX Comércio e Serviços de Informática, Lda. 1 P á g i n a Procedimentos Inventário AT 2014 Software Olisoft-SIG.2014 Verificar Versão dos Módulos Instalados Em primeiro lugar deveremos verificar se o programa SIG está actualizado. Esta nova rotina

Leia mais