Lab 3. Timing Timing Constraints Simulação
|
|
- Thomaz Palmeira Fragoso
- 8 Há anos
- Visualizações:
Transcrição
1 Lab 3 Timing Timing Constraints Simulação A. Mariano
2 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais ao qual ele está relacionado muda de estado. my_process: process (input1, input2) begin output1 <= input1 and input2; end process; Um evento (mudança de estado) em um dos sinais sensíveis é que chaveia o process Para 'clocked' processes, a lista dos sinais sensíveis deve conter obrigatóriamente o sinal de relógio (clock) A. Mariano
3 Instruções IF if [condition] then statements; end if; if [condition] then statements; else statements; end if; A. Mariano
4 Detecção da borda de subida de um clock if rising_edge(clock_signal) then [statements] end if; if clock_signal'event and clock_signal = '1' then [statements] end if; A. Mariano
5 Declaração de elementos de armazenamento Elementos de armazenamento são declarados como um sinal local. A maneira como o designer implementa o seu código é que os faz atuar como elementos de armazenamento. Se um sinal só é atribuído durante a transição do relógio, o armazenamento será implementado usando flip-flops:... architecture behavioural of counter signal counter : STD_LOGIC_VECTOR(7 downto 0); begin count: process(clock) begin if rising_edge(clock) then counter <= counter+1 end if; end process; end architecture;... A. Mariano
6 Declaração de elementos de armazenamento Inicialização do elemento de armazenamento signal counter : STD_LOGIC_VECTOR(7 downto 0) := " "; Forma mais conveniente para vetores maiores: signal counter : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); A. Mariano
7 Contador de 8 bits (exemplo) A. Mariano
8 Contador de 8 bits (exemplo) A. Mariano
9 Desafio 1 Contador binário crescente de 30 bits... - Modifique o seu projeto visando a implementação de um contador binário de crescente de 30 bits. a) Atribua os 8 bits mais significativos aos 8 LEDs do kit NESYS 2; b) Efetue o mapeamento do clock (NET "Clk" LOC = "B8";) c) Verifique se a sintaxe está correta e faça a implementação do projeto. A. Mariano
10 O problema da temporização Como responder corretamente a especificação do projeto? A solução proposta é rápida o suficiente para atender a especificação? Utilizar uma FPGA mais rápida (custos ) Utilizar macros do fabricante da FPGA (portabilidade ) Otimizar o código a ser implementado (designer skills $$$) A. Mariano
11 O problema da temporização Como responder corretamente a especificação do projeto? A solução proposta é rápida o suficiente para atender a especificação? Utilizar uma FPGA mais rápida (custos ) Utilizar macros do fabricante da FPGA (portabilidade ) Otimizar o código a ser implementado (designer skills $$$) ESPECIFICAÇÃO: - Aquisição de sinais (bordas e duração de pulsos) com precisão de 10ns - Pulsos podem ocorrer em um intervalo de 4s ANÁLISE: -Dando uma certa margem de segurança ao projeto, F = 250 MHz - impondo 4ns de incerteza na detecção das bordas e 8ns de incerteza na medida da largura dos pulsos. - como os pulsos podem ocorrer em um intervalo de 4s, um contador de 30 bits faz-se necessário. A. Mariano
12 Velocidade de Operação Qual é a frequência máxima em que um design pode operar? A. Mariano
13 Velocidade de Operação Qual é a frequência máxima em que um design pode operar? O mais rápido que a sua FPGA e seu DESIGN permitirem!!! A. Mariano
14 Velocidade de Operação Após a síntese e mapeamento, é possível verificar o tempo de propagação de um determinado sinal (ou conjunto de sinais) A. Mariano
15 Velocidade de Operação Fmáx = 246 MHz A. Mariano
16 Como um design afeta a velocidade de operação? Cada um flip-flop atua como um ponto de partida ou de chegada para um sinal digital. Quando um sinal de relógio surge, o flip-flop assume um novo valor. O sinal atualizados sai do flip-flop e começa a se propagar através de células lógicas ligadas a este ramo até que todos os sinais lógicos estejam estáveis e todos os flip-flops tenham o seu valor atualizado. Para que um design funcione corretamente, o sinal de atualização tem que chegar ao flip-flop com, pelo menos, o tempo suficiente para garantir que, quando o relógio comutar novamente o sinal irá ser capturado com segurança (setup time). A. Mariano
17 Como um design afeta a velocidade de operação? Routing time: tempo necessário para "carregar os fios" que encaminham os sinais entre as diferentes células lógicas. Como se pode imaginar o comprimento das interconexões e o número de portas conectadas às trillhas ("fan-out") determina a quantidade de corrente necessária para transferir os sinais com precisão através da FPGA, e, portanto, o routing time. Logic time: tempo necessário para que uma célula lógica possa reagir a uma mudança de entrada Setup time: o tempo necessário para assegurar que o sinal atinja o seu destino final a cada comutação de relógio A. Mariano
18 É possível aumentar a velocidade de operação sem alterar o design? Imposição de uma restrição de projeto A. Mariano
19 Timing Constraints Após setar as restrições de tempo, reconstrua o projeto A. Mariano
20 Static Timing Report A. Mariano
21 Static Timing Report 30 bits 30*0,103 = 3,09 ns Fmáx = 267 MHz A. Mariano (sem o roteamento!!!) 21
22 Desafio 2 Design de um contador binário de 30 bits que funcione a uma cadência de 250 MHz - Otimizar o código desenvolvido anteriormente de forma a atender a especificação - Simular o projeto e elaborar relatório ( a ser entregue IMPRESSO na próxima aula), contendo: - Código do design otimizado (print-screen do report apresentando a frequência máxima de operação) - Print-screen dos principais resultados de simulação (todos os resultados apresentados devem ser comentados e justificados) Dica: o ponto crítico deste design é o tempo necessário para que o sinal se propague no contador do BIT(0) ao BIT(29) 30 bits 30*0,103 = 3,09 ns A. Mariano
VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona
VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que
Leia maisFlip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara
Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando
Leia maisImplementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete
- 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL
Leia maisCAPÍTULO 5 CIRCUITOS SEQUENCIAIS
CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos
Leia maisProjeto Dirigido: Genius
Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía
Leia maisPROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br
- Aula 2 - O NÍVEL DA MICROARQUITETURA 1. INTRODUÇÃO Este é o nível cuja função é implementar a camada ISA (Instruction Set Architeture). O seu projeto depende da arquitetura do conjunto das instruções
Leia maisCurso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase
Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia
Leia maisInstituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21
Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos
Leia maisEA773 - Experimento 5
EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos
Leia mais3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência
3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito
Leia maisCircuitos Seqüenciais
Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por
Leia maisEletrônica Digital para Instrumentação. Herman Lima Jr.
G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos
Leia maisSISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS
CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO
Leia maisDECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH
UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo
Leia maisMINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke
MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......
Leia maisProjeto de Máquinas de Estado
Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura
Leia maisÍndice. Modelos e Procedimentos
Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da
Leia maisContadores (Aula1) Prof. Rômulo Calado Pantaleão Camara
Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem
Leia maisAULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.
AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,
Leia maisCircuitos sequenciais elementares
Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais
Leia maisOrganização de Computadores 1
Organização de Computadores 1 SISTEMA DE INTERCONEXÃO (BARRAMENTOS) Prof. Luiz Gustavo A. Martins Arquitetura de von Newmann Componentes estruturais: Memória Principal Unidade de Processamento Central
Leia maisLABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan
LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A
Leia mais12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)
Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.
Leia maisCircuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto
Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre
Leia maisCircuitos Digitais Cap. 6
Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C
Leia maisCurso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase
Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia
Leia maisCapítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores
MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores
Leia maisProjecto e Controlo em Lógica Digital
Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para
Leia maisRegistradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva
Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de
Leia maisLABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores
45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,
Leia maisOrganização e Arquitetura de Computadores II
Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de
Leia maisEntradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006
TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br
Leia maisUFSM-CTISM. Circuitos Digitais Contadores Aula-11
UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída
Leia maisFigura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento
1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações
Leia maisProgramação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1
Conteúdo Página Operações Lógicas Binárias: AND, OR...2 Operações Lógicas Binárias: OR Exclusivo (XOR)...3 Contatos Normalmente Abertos e Normalmente Fechados. Sensores e Símbolos... 4 Exercício...5 Resultado
Leia maisTais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.
Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:
Leia maisCONTROLE DE UM SERVO MOTOR
CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades
Leia maisUNIVERSIDADE FEDERAL DO PARANÁ. Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional
UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional Alunos: Cezar Oliveira Douglas Alencar Professores: Márlio José do
Leia maisCapítulo 4. MARIE (Machine Architecture Really Intuitive and Easy)
Capítulo 4 João Lourenço Joao.Lourenco@di.fct.unl.pt Faculdade de Ciências e Tecnologia Universidade Nova de Lisboa 2007-2008 MARIE (Machine Architecture Really Intuitive and Easy) Adaptado dos transparentes
Leia maisCircuitos Sequenciais. Sistemas digitais
Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }
Leia maisPrototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo
Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs
Leia maisARQUITETURA DE COMPUTADORES - 1866
7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um
Leia maisCapítulo VIII Registradores de Deslocamento e Contadores
Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos
Leia maisMicroprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1
UNIVERSIDADE FEDERAL DE SÃO CARLOS CENTRO DE CIÊNCIAS EXATAS E TECNOLOGIA (CCET) DEPARTAMENTO DE COMPUTAÇÃO Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1
Leia maisConversores D/A e A/D
Conversores D/A e A/D Introdução Um sinal analógico varia continuamente no tempo. Som Temperatura Pressão Um sinal digital varia discretamente no tempo. Processamento de sinais digitais Tecnologia amplamente
Leia maisGUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO
GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia
Leia maisCircuitos Lógicos Registradores e Contadores
Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.
Leia maisContadores. Contadores Assíncronos Crescentes
Contadores Variam seus estados sob o comando de um clock; São utilizados para: Contagens diversas; Divisão de frequência; Medição de frequência e tempo; Geração de formas de onda; Conversão analógico para
Leia maisCAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO
1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,
Leia maisPrimeiros "computadores" digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna
Execução de um O executa um Quais os seus componentes? Como estes se organizam e interactuam? entrada de dados processador, memória, input bits periféricos,etc bits saída de dados output Primeiros "es"
Leia maisO Hardware Dentro da Unidade do Sistema
Memória RAM Random Access Memory Memória de Acesso Aleatório Armazena: programas em execução dados dos programas em execução alguns programas do sistema operacional O objetivo é tornar mais rápido o acesso
Leia maisINSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES
INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.
Leia maisFundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno
Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem
Leia maisSistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais
Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,
Leia maisCIÊNCIA E TECNOLOGIA DO RIO INFORMÁTICA BÁSICA AULA 03. Docente: Éberton da Silva Marinho e-mail: ebertonsm@gmail.com 25/06/2014
INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DO RIO GRANDE DO NORTE INFORMÁTICA BÁSICA AULA 03 Docente: Éberton da Silva Marinho e-mail: ebertonsm@gmail.com 25/06/2014 Unidades de armazenamento
Leia maisLABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans
LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2
Leia maisFamília CJ2. Novos CLPs com alta qualidade comprovada. Controladores Programáveis
Controladores Programáveis Família CJ2 Novos CLPs com alta qualidade comprovada. >> Flexibilidade em comunicação >> Desenvolvimento mais rápido de máquinas >> Inovação através da evolução Inovação sem
Leia maisDescreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :
UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,
Leia maisUNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE
P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:
Leia maisComponentes de um Computador: Modelo Von Neumann
Componentes de um Computador: Modelo Von Neumann Modelo de Von Neumann O nome refere-se ao matemático John Von Neumann que foi considerado o criador dos computadores da forma que são projetados até hoje.
Leia maisEPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL
Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento
Leia maisR S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR
19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais
Leia maisINTRODUÇÃO BARRAMENTO PCI EXPRESS.
INTRODUÇÃO BARRAMENTO EXPRESS. O processador se comunica com os outros periféricos do micro através de um caminho de dados chamado barramento. Desde o lançamento do primeiro PC em 1981 até os dias de hoje,
Leia maisCAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO
28 CAPÍTULO 5 INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO Interfaces para periféricos de armazenamento: Periféricos de armazenamento,
Leia maisMINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke
MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...
Leia maisDisplay de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos
TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br
Leia maisSistemas Operacionais
Sistemas Operacionais Aula 5 Estrutura de Sistemas de Computação Prof.: Edilberto M. Silva http://www.edilms.eti.br Baseado no material disponibilizado por: SO - Prof. Edilberto Silva Prof. José Juan Espantoso
Leia maisControladores Lógicos Programáveis CLP (parte-3)
Controladores Lógicos Programáveis CLP (parte-3) Mapeamento de memória Na CPU (Unidade Central de Processamento) de um CLP, todas a informações do processo são armazenadas na memória. Essas informações
Leia maisInformática I. Aula 4. http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1
Informática I Aula 4 http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1 Ementa Histórico dos Computadores Noções de Hardware e Software Microprocessadores Sistemas Numéricos e Representação
Leia maisUnidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01
Unidade Central de Processamento (CPU) Processador Renan Manola Introdução ao Computador 2010/01 Componentes de um Computador (1) Computador Eletrônico Digital É um sistema composto por: Memória Principal
Leia maisUniversidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação
Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE5406 - Sistemas Digitais semestre 2011/1 Prof. José Luís Güntzel guntzel@inf.ufsc.br
Leia maisTipos enumerados definem uma lista de valores, e são especialmente úteis na
Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,
Leia maisDisciplina: Laboratório de Circuitos Digitais
Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián
Leia maisFLIP-FLOPS FLOPS. INTRODUÇÃO Os circuitos anteriormente estudados são chamados de
FLIP-FLOPS FLOPS INTRODUÇÃO Os circuitos anteriormente estudados são chamados de circuitos combinacionais porque os níveis n lógicos l de saída dependem apenas dos níveis n lógicos l nas entradas. (os
Leia maisFigura 01 Visão Geral da Placa
1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e
Leia maisDATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS
DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula
Leia mais11.3) Circuitos conversores D/A
11.3) Circuitos conversores D/A Conversor com rede R2R: Se o R MSB for de 1KΩem um conversor de 12 bits, o R LSB seria 2 12 1KΩ=4096KΩ. Não é possível garantir precisão nesta faixa. Nas redes R/2R usamos
Leia maisSuporte de funcionamento e interacção com o teclado
Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho
Leia maisAula 09. Memórias e Circuitos Digitais Seqüenciais
Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas
Leia maisCircuitos sequenciais elementares
Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas
Leia maisCAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS
50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono
Leia maisPlacas Adaptadoras e montagem de Redes
Placas Adaptadoras e montagem de Redes Objetivos da aula: 1 - Descrever a função da placa adaptadora de rede em uma rede. 2 - Descrever as opções de configuração para placas adaptadoras de rede. 3 - Listar
Leia maisControlador de Interrupções com Prioridades Rotativas Julho de 2001
Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Trabalho realizado por: Filipe Moreira Ricardo Almeida Controlador de Interrupções com Prioridades Rotativas... 1 Introdução... 1 Descrição
Leia maisBásculas, Flip-Flops e Debouncing
Trabalho Prático n o 6 Componentes Sequenciais SSI Básculas, Flip-Flops e Debouncing 1 Introdução Este trabalho tem como objectivo: introduzir os rudimentos de circuitos sequenciais em projectos digitais,
Leia maisIntrodução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h
Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h A grande ideia! O processo de concepção de um produto (chip) é muito demorado. Tempo; Esforço;
Leia maisConversão Analógica Digital
Slide 1 Conversão Analógica Digital Até agora, discutimos principalmente sinais contínuos (analógicos), mas, atualmente, a maioria dos cálculos e medições é realizada com sistemas digitais. Assim, precisamos
Leia maisTÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)
CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS
Leia maisCP C U P U - Un U i n da d d a e d e Ce C n e t n ral a de d e Pr P oc o es e sam a e m n e t n o o Pr P oc o es e sad a o d r o Aula 03
CPU - Unidade Central de Processamento Processador Aula 03 A CPU (Unid. Central de Processamento A unidade Central de Processamento, a CPU (Central Processing Unit), atua como o cérebro do sistema, processando
Leia maisMódulo I. Desenvolvimento Software CLP - Básico
Desenvolvimento Software CLP - Básico Lista de exercícios utilizados nas vídeo aulas e manual de referência das instruções utilizadas em cada aula. Setor de capacitação técnica Branqs Automação Santos
Leia maisHARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO. Wagner de Oliveira
HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO Wagner de Oliveira SUMÁRIO Hardware Definição de Computador Computador Digital Componentes Básicos CPU Processador Memória Barramento Unidades de Entrada e
Leia maisProjeto com Dispositivos Programáveis
Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs
Leia mais- Arquitetura de sistemas digitais- Cap 1 - Introdução
- Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores
Leia maisLaboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015
Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com
Leia maisExercícios de Fixação
Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura
Leia maisARQUITETURA DE COMPUTADORES
ARQUITETURA DE COMPUTADORES Aula 08: UCP Características dos elementos internos da UCP: registradores, unidade de controle, decodificador de instruções, relógio do sistema. Funções do processador: controle
Leia maisFPGA & VHDL. Tutorial
FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves
Leia mais20/09/2009 TRANSFORMANDO DADOS EM. PROCESSANDO DADOS George Gomes Cabral SISTEMAS NUMÉRICOS INFORMAÇÕES
TRANSFORMANDO DADOS EM INFORMAÇÕES Em geral, parece que os computadores nos entendem porque produzem informações que nós entendemos. Porém, tudo o que fazem é reconhecer dois estados físicos distintos
Leia maisExercícios Referentes à Prova P1
ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário
Leia maisProgramação de CLPs por 1. Diagramas de Contato
Programação de CLPs por Diagramas de Contato 1. Diagramas de Contato 2. Um CLP Genérico 3. Instruções de Entrada e Saída 4. Instruções Booleanas 5. Circuitos de Intertravamento 6. Detecção de Borda 7.
Leia maisConceitos básicos do
Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de
Leia mais