LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

Tamanho: px
Começar a partir da página:

Download "LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan"

Transcrição

1 LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015

2 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A razão para isso é limitar o tempo máximo de jogo e evitar que ele dure para sempre. 2. Um relógio de xadrez é composto por dois cronômetros e dois botões, que controlam a gem dos cronômetros. 2

3 Relógio de Xadrez 3. O funcionamento básico desse tipo de relógio é definido como:» Um tempo máximo de jogo para cada jogador é definido, e os dois cronômetros são ajustados para esse valor; 3

4 Relógio de Xadrez 3. O funcionamento básico desse tipo de relógio é definido como:» Um tempo máximo de jogo para cada jogador é definido, e os dois cronômetros são ajustados para esse valor;» Um jogador inicia sua jogada e seu cronômetro começa a regredir; 4

5 Relógio de Xadrez 3. O funcionamento básico desse tipo de relógio é definido como:» Um tempo máximo de jogo para cada jogador é definido, e os dois cronômetros são ajustados para esse valor;» Um jogador inicia sua jogada e seu cronômetro começa a regredir;» Assim que o jogador terminar sua jogada, ele aperta o botão, seu cronômetro para de regredir e é a vez do próximo jogador realizar sua jogada; 5

6 Relógio de Xadrez 3. O funcionamento básico desse tipo de relógio é definido como:» Um tempo máximo de jogo para cada jogador é definido, e os dois cronômetros são ajustados para esse valor;» Um jogador inicia sua jogada e seu cronômetro começa a regredir;» Assim que o jogador terminar sua jogada, ele aperta o botão, seu cronômetro para de regredir e é a vez do próximo jogador realizar sua jogada;» Esse processo segue até que um dos cronômetros chegue ao ponto 00:00. 6

7 Relógio de Xadrez 4. O objetivo desse trabalho é o projeto de um relógio de xadrez, utilizando conceitos de projeto de circuitos digitais e a placa de prototipação. 5. Para tanto, utilize:» Os leds da placa para identificar o turno de cada jogador;» O display de segmentos para mostrar o valor dos cronômetros e identificar o jogador vencedor;» Os botões para controlar o relógio;» As para programar os cronômetros.

8 Relógio de Xadrez 6. Para o controle do relógio, descreva uma máquina de estados utilizando dois processos para o bloco de controle e lógica combinacional para ler e escrever nos pinos de E/S.. Reuse o código da parte 1 desse laboratório para os cronômetros.

9 Material de Apoio 1. Circuito detector de borda 2. Driver para o display de sete segmentos 3. Test bench para validar o relógio de xadrez 4. UCF para o relógio de xadrez 9

10 Ponto de partida Cronômetro validado por simulação e prototipação REP LOAD COUNT seg=0 and min=0 min seg Divisor T = 1 seg ck1seg ck1seg valor ext. Contador Decrescente (99-->0) ce Contador Decrescente (59-->0) ce,seg min seg R O M R O M Minutos_BCD Segundos_BCD d4 d3 d2 d1 DISPLAY DRIVER 4 an clock (50 MHz) 10

11 Primeira atividade - Acrescentar duas portas ao cronômetro: ce e fim entity dec_cron is generic ( CLOCK_FREQ : integer := ); port ( ce : in std_logic; fim : out std_logic; clock : in std_logic; : in std_logic; : in std_logic; : in std_logic; : in std_logic_vector(6 downto 0); an : out std_logic_vector(3 downto 0); dec_ddp : out std_logic_vector( downto 0) ); end dec_cron; ce: habilita ou não o divisor de clock para obtenção de 1 seg - o teste do ce é inserido no divisor de clock, depois do teste da borda de clock fim: indica que o cronômetro chegou a zero - na prática ocorre quando voltamos para o estado de REP 11

12 Primeira atividade - Acrescentar dois sinais ao cronômetro: ce e fim RECOMENDA-SE SIMULAR ESTA MODIFICAÇÃO ALTERANDO O TEST BENCH FORNECIDO NA PRIMEIRA PARTE DO TRABALHO ANTES DE UTILIZÁ-LA NO RELÓGIO DE XADREZ! 12

13 CRONOMETRO DE XADREZ - Diagrama de blocos RELÓGIO_XADREZ clock vez_j1 CRON_J1 ce cronômetro an an_j1 4 an clock fim fim_j1 vez_j2 CRON_J2 ce cronômetro din raising _int clock an fim 4 an_j j1 din raising j2 din raising fim_j1 MÁQUINA DE ESTADOS DE CONTROLE dec. led_j1 led_j2 13

14 Função do circuito Dado que a máquina de estados opera na frequência de 50 MHz, o valor oriundo da tecla deve ser filtrado para não ficar ativo durante muito tempo (isto é, por mais de um ciclo de clock) Código em: 14

15 entradas / saídas led_j1 led_j2 MINUTOS SEGUNDOS Chaves para programação (utilizar apenas das ) j1 j2 15

16 Máquina de estados & operação RELÓGIO_XADREZ clock vez_j1 CRON_J1 ce cronômetro an an_j1 4 an 1 - Reset: vai para o estado de REP _int din raising vez_j2 fim_j1 fim CRON_J2 ce cronômetro an 4 an_j2 fim Carga: passa para o estado LOAD e carrega os dois dores com o mesmo valor definido pelas j1 din raising j2 din raising fim_j1 MÁQUINA DE ESTADOS DE CONTROLE dec. led_j1 led_j2 _int REP _int LOAD C_j1a C_j1 fim_j1 end_j1 C_j2a C_j2 end_j2 16

17 Máquina de estados & operação clock j1 j2 RELÓGIO_XADREZ _int din raising din raising din raising vez_j1 CRON_J1 ce an 4 an_j1 fim_j1 fim vez_j2 CRON_J2 ce cronômetro cronômetro 1110 an 4 an_j fim MÁQUINA DE ESTADOS dec. fim_j1 DE CONTROLE 4 an led_j1 led_j2 3 Quando um jogador pressionar sua tecla (ex: j1) passa-se para o estado C_j1a. Assim que o valor de voltar a 0, começa-se a gem do cronômetro do jogador à estado C_j1 (estado intermediário para evitar glitch com as teclas) _int REP _int LOAD C_j1a C_j1 fim_j1 end_j1 C_j2a C_j2 end_j2 1

18 Máquina de estados & operação clock j1 j2 RELÓGIO_XADREZ _int din raising din raising din raising vez_j1 CRON_J1 ce an 4 an_j1 fim_j1 fim vez_j2 CRON_J2 ce cronômetro cronômetro 1110 an 4 an_j fim MÁQUINA DE ESTADOS dec. fim_j1 DE CONTROLE 4 an led_j1 led_j2 4 O jogador que iniciou (ex: j1) pressiona j1 novamente e a gem do jogador dois (j2) inicia. Os jogadores irão alternar suas jogadas até que a gem de um deles expirar (00:00). _int REP _int LOAD C_j1a C_j1 fim_j1 end_j1 C_j2a C_j2 end_j2 1

19 Máquina de estados & operação clock RELÓGIO_XADREZ vez_j1 vez_j2 CRON_J1 ce an 4 fim_j1 fim CRON_J2 ce cronômetro cronômetro 1110 an_j1 4 an 5 Quando a gem expirar o display irá mostrar o jogador que venceu - valor 1 ou 2 an 4 an_j2 _int din raising fim j1 din raising j2 din raising fim_j1 MÁQUINA DE ESTADOS DE CONTROLE dec. led_j1 led_j2 _int REP _int LOAD C_j1a C_j1 fim_j1 end_j1 C_j2a C_j2 end_j2 19

20 Máquina de estados & operação 5 Quando a gem expirar o display irá mostrar o jogador que venceu - valor 1 ou 2 an alterna entre o cronômetro 1 ou 2 em função do estado atual. Quando um jogador ganhar fica ativo apenas o display da direita (com valor 0) alterna entre o cronômetro 1 ou 2 em função do estado atual. Quando um jogador ganhar exibe-se 1 ( ) ou 2 ( ) no display da direita Em função de quem está jogando um determinado led acende 20

21 Simulação 1/ jogador 2 começou 21

22 Simulação 2/2 Jogador 2 Jogador 1 Jogador 1 Jogador 2 Jogador 2 perdeu j1 <= '0', '1' after ns, '0' after ns, '1' after ns, '0' after ns; j2 <= '0', '1' after 402 ns, '0' after 500 ns, '1' after 6002 ns, '0' after 6100 ns, '1' after ns, '0' after ns; Jogador 2 começa em 402 ns e termina sua primeira jogada em 6002 ns. Jogador 1 termina sua primeira jogada em ns Jogador 2 termina sua segunda jogada em ns Jogador 1 termina sua segunda jogada em ns Jogador 2 expira o seu tempo e perdeu!!!! 22

23 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity tb is end tb; architecture tb of tb is signal clock,,, j1, j2 : std_logic := '1'; signal : std_logic_vector(6 downto 0); begin clock <= not clock after 5 ns; <= '1', '0' after 3 ns; <= '0', '1' after 133 ns, '0' after 425 ns; <= " "; j1 <= '0', '1' after ns, '0' after ns, '1' after ns, '0' after ns; Test bench para validar o relógio de xadrez j2 <= '0', '1' after 402 ns, '0' after 500 ns, '1' after 6002 ns, '0' after 6100 ns, '1' after ns, '0' after ns; uut : entity work.relogio_xadrez generic map ( CLOCK_FREQ => 4 ) port map ( clock => clock, =>, =>, j1 => j1, j2 => j2, =>, an => open, dec_ddp => open ); -- para simulacao utilizar um divisor menor Fernando end tb; Moraes / Matheus Moreira 23

24 Depois de simular, prototipar UCF para o projeto à Atenção para os nomes dos sinais! # pinos para as entradas e saídas NET "clock" LOC = "b"; NET "" LOC = "h13"; NET "j1" LOC = "e1"; NET "j2" LOC = "d1"; NET "" LOC = "b1"; NET "led_j1" LOC= "j15"; NET "led_j2" LOC= "j14"; NET "<0>" LOC = "g1"; NET "<1>" LOC = "h1"; NET "<2>" LOC = "k1"; NET "<3>" LOC = "k1"; NET "<4>" LOC = "l14"; NET "<5>" LOC = "l13"; NET "<6>" LOC = "n1"; NET "an<0>" LOC = "f1"; NET "an<1>" LOC = "h1"; NET "an<2>" LOC = "c1"; NET "an<3>" LOC = "f15"; NET "dec_ddp<0>" LOC = "c1" ; NET "dec_ddp<1>" LOC = "h14" ; NET "dec_ddp<2>" LOC = "j1" ; NET "dec_ddp<3>" LOC = "g14" ; NET "dec_ddp<4>" LOC = "d16" ; NET "dec_ddp<5>" LOC = "d1" ; NET "dec_ddp<6>" LOC = "f1" ; NET "dec_ddp<>" LOC = "l1" ; 24

25 Sugestão para estrutura de código library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity relogio_xadrez is generic ( CLOCK_FREQ : integer := ); port ( Pinos. conforme o diagrama de blocos ); ou o arquivo UCF end relogio_xadrez; o generic é para parametrizar o divisor de clock architecture relogio_xadrez of relogio_xadrez is DECLARAR OS SINAIS NESSÁRIOS type states is (REP, LOAD, COUNT_J1a, COUNT_J1, COUNT_J2a, COUNT_J2, END_J1, END_J2); signal, PE : states; begin 25

26 begin Sugestão para estrutura de código a1: entity work._detector port map (clock=>clock, =>, din=>, rising=>_int); a2: entity work._detector port map (...); a3: entity work._detector port map (...); i_cron_j1: entity work.dec_cron -- cronometro p/ jogador 1 generic map (CLOCK_FREQ => CLOCK_FREQ ) port map (... ); i_cron_j2: entity work.dec_cron -- cronometro p/ jogador 2 generic map (CLOCK_FREQ => CLOCK_FREQ ) port map (...); Instanciação dos 5 blocos 3 detectores de borda e 2 cronômetros à DOIS PROCESSOS PARA A MÁQUINA DE ESTADOS ß led_j2 <= '1' when =COUNT_J2 else '0'; led_j1 <= '1' when ; <= '1' when.'; vez_j1 <= '0'.. else '1'; vez_j2 <= '0'.. else '1'; an <= an_j1. dec_ddp <= dec_ddp_j1 when. Sinais controlados pelo Estado Atual da máquina de estados - combinacional end relogio_xadrez; 26

27 A ENTREGAR T5 Um arquivo compactado (.zip,.rar, etc.) contendo: Fonte do cronômetro inicial, não modificado, compatível com o test bench Fonte do relógio de xadrez, compatível com o test bench Relatório detalhando a estrutura do código com formas de onda comentadas A avaliação ocorrerá por demonstração ao professor. 2

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Fernando Gehm Moraes Matheus Trevisan 20/janeiro/2016 Introdução O objetivo deste trabalho é especificar um módulo que deverá ser implementado

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

TRABALHO FINAL FSD 2018/2: Cronômetro de Xadrez

TRABALHO FINAL FSD 2018/2: Cronômetro de Xadrez TRABALHO FINAL FSD 2018/2: Cronômetro de Xadrez Fernando Moraes 31/out/2018 Em campeonatos, o xadrez é jogado usando um relógio. A razão para isso é limitar o tempo máximo de jogo e evitar que ele dure

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Capítulo 8. CICLOS. Tabela 8.1 Programa8a.f90.

Capítulo 8. CICLOS. Tabela 8.1 Programa8a.f90. Capítulo 8. CICLOS OBJETIVOS DO CAPÍTULO Conceito de ciclo Comandos do FORTRAN: DO END DO, EXIT 8.1 programa8a.f90 Para inicializar as atividades deste capítulo, deve-se executar: 1) Para acessar o programa

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Projeto Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Conteúdo descrição lógica de alto nível (VHDL): componentes da plataforma como memória, contadores,

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Manual de Instruções. Posicionador e Incrementador Modelo PIS-01/72. Cód.: Frontal. Conexões Elétricas. Introdução

Manual de Instruções. Posicionador e Incrementador Modelo PIS-01/72. Cód.: Frontal. Conexões Elétricas. Introdução Introdução Características Técnicas O posicionador e incrementador Samrello é um equipamento utilizado para o comando de posicionamento dos eixos em máquinas e processos, em diversas áreas e aplicações.

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Instalação do Sirius sem estações. O primeiro passo é instalar o Firebird 1.5, ele se encontra no CD de instalação do Sirius na pasta Firebird.

Instalação do Sirius sem estações. O primeiro passo é instalar o Firebird 1.5, ele se encontra no CD de instalação do Sirius na pasta Firebird. Instalação do Sirius sem estações 1. Instalando o Firebird...1 2. Instalando o Sirius...7 3. Configurações do Sirius:...11 Configuração dos arquivos do Sirius e Firebird...12 Firebird:...12 Sirius:...13

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

MANUAL BÁSICO DE INSTRUÇÕES

MANUAL BÁSICO DE INSTRUÇÕES MANUAL BÁSICO DE INSTRUÇÕES ANTES DE LIGAR O DISPOSITIVO, AJUSTE A CHAVE SELETORA DE TENSÃO, LOCALIZADA NA PARTE INTERNA DO APARELHO, CONFORME ILUSTRAÇÃO 13. 1 O display informa hora/minuto intercalado

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos

Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos TUTORIAL Barra de LEDs Autor: Tiago Lone Nível: Básico Criação: 19/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI

Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI Comércio e Manutenção de Produtos Eletrônicos Manual CP-WS1 Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI PROXSYS Versão 1.0 Março-2013 Controlador Industrial CP-WS1

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

1- Scilab e a placa Lab_Uino. 2- Instalação do ToolBox

1- Scilab e a placa Lab_Uino. 2- Instalação do ToolBox 1- Scilab e a placa Lab_Uino A placa Lab_Uino, é uma plataforma aberta para aprendizagem de sistemas microprocessados. Com a utilização de um firmware especifico a placa Lab_Uino é reconhecido pelo sistema

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Circuitos Lógicos Registradores e Contadores

Circuitos Lógicos Registradores e Contadores Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

APOSTILA ZELIO SOFT 2

APOSTILA ZELIO SOFT 2 APOSTILA ZELIO SOFT 2 Prof. Maycon Max Kopelvski Fev/2010 rev.01 Página 1 de 20 1) Clique no ícone para abrir o programa Zelio Soft 2 2) Abrirá a tela inicial do Programa: Clique em Criar um novo programa.

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

Rua Moreira de Vasconcelos - 16 - Penha - Rio de Janeiro - RJ CEP 21020-230 - Tel. (21) 3867-1059 www.fabricadediversao.com.br

Rua Moreira de Vasconcelos - 16 - Penha - Rio de Janeiro - RJ CEP 21020-230 - Tel. (21) 3867-1059 www.fabricadediversao.com.br INSTRUÇÕES DE JOGO Rua Moreira de Vasconcelos - 16 - Penha - Rio de Janeiro - RJ CEP 21020-230 - Tel. (21) 3867-1059 www.fabricadediversao.com.br C 2004 CORRIDA COELHO & TARTARUGA ÍNDICE PAGINA 1- MONTAGEM

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

dígitos. altura (mm) 10

dígitos. altura (mm) 10 ±,QVWDODomR Este equipamento é de fixação em, por meio de duas presilhas, cujo aperto é realizado por parafuso. As dimensões para a abertura são indicadas na figura1. O esquema de ligação é mostrado na

Leia mais

Guia Rápido de Programação V1000 SCANCHIP

Guia Rápido de Programação V1000 SCANCHIP Guia Rápido de Programação V1000 SCANCHIP 1 6 11 12 13 9 2 3 STOP 15 14 10 4 5 7 8 nº Display Nome Função 1 Área exibição de informação Exibe a referencia de freqüência, número de parâmetros 2 Tecla ESC

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação Software Altera Linguagem VHDL Compilando VHDL com o ALTERA MAX PLUS II - 1 - Compilando VHDL com o ALTERA MAX

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário

CADERNOS DE INFORMÁTICA Nº 1. Fundamentos de Informática I - Word 2010. Sumário CADERNO DE INFORMÁTICA FACITA Faculdade de Itápolis Aplicativos Editores de Texto WORD 2007/2010 Sumário Editor de texto... 3 Iniciando Microsoft Word... 4 Fichários:... 4 Atalhos... 5 Área de Trabalho:

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: Organização Básica de um Computador Digital Introdução à Engenharia de Computação 2 Componentes de um Computador Computador Eletrônico Digital É um sistema

Leia mais

Usando o do-file editor Automatizando o Stata

Usando o do-file editor Automatizando o Stata Usando o do-file editor Automatizando o Stata 1 O QUE É O EDITOR DE DO-FILE O Stata vem com um editor de texto integrado, o do-file editor (editor de do-files, em português), que pode ser usado para executar

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

MATERIAL DE APRESENTAÇÃO DO SCRATCH

MATERIAL DE APRESENTAÇÃO DO SCRATCH MATERIAL DE APRESENTAÇÃO DO SCRATCH 1 Scratch é uma nova linguagem de programação que permite a criação de histórias, animações, jogos e outras produções. Tudo pode ser feito a partir de comandos prontos

Leia mais

Módulo de Desenvolvimento PIC16F877A

Módulo de Desenvolvimento PIC16F877A Objetivos: Módulo de Desenvolvimento PIC16F877A Realizar programação e projetos com microcontroladores e seus periféricos, integrando sistemas analógicos e digitais com a utilização de compilador (Mikro

Leia mais

Universidade Federal de Rondônia Técnicas de Desenvolvimento de Programas Lista 4

Universidade Federal de Rondônia Técnicas de Desenvolvimento de Programas Lista 4 Universidade Federal de Rondônia Técnicas de Desenvolvimento de Programas Lista 4 WHILE 1. Faça um comando while equivalente ao descrito abaixo (que realize o mesmo tipo de procedimento e obtenha o mesmo

Leia mais

Controle de elevador

Controle de elevador Controle de elevador Aluno...: Leonardo Rafael Coordenador: Prof. Eng Luiz Antonio Vargas Pinto vargasp@uol.com.br Escola Técnica Rubens de Faria e Souza 1 Dedicatória e Agradecimentos Dedico aos meus

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Sistemas de Apoio à Decisão

Sistemas de Apoio à Decisão Sistemas de Apoio à Decisão Processo de tomada de decisões baseia-se em informação toma em consideração objectivos toma em consideração conhecimento sobre o domínio. Modelar o processo de tomada de decisões

Leia mais

FERRAMENTA DIDÁTICA PARA DISCIPLINA DE ELETRÔNICA DIGITAL

FERRAMENTA DIDÁTICA PARA DISCIPLINA DE ELETRÔNICA DIGITAL FERRAMENTA DIDÁTICA PARA DISCIPLINA DE ELETRÔNICA DIGITAL Diego S. Mageski diego.mageski@hotmail.com Bene R. Figueiredo bfigueiredo@ifes.edu.br Wagner T. da Costa wagnercosta@ifes.edu.br Instituto Federal

Leia mais

Manual do Teclado de Satisfação Online WebOpinião

Manual do Teclado de Satisfação Online WebOpinião Manual do Teclado de Satisfação Online WebOpinião Versão 1.2.3 27 de novembro de 2015 Departamento de Engenharia de Produto (DENP) SEAT Sistemas Eletrônicos de Atendimento 1. Introdução O Teclado de Satisfação

Leia mais

SP-6000/ES ENSAQUE SIMPLES

SP-6000/ES ENSAQUE SIMPLES SP-6000/ES ENSAQUE SIMPLES CAPS LOCK IMPRESSORA ATIVIDADE ESTÁVEL FUNÇÃO ESC F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12! @ # $ % / & * ( ) _ + BackSpace 1 2 3 4 5 6 7 8 9 0 - = TAB Q W E R T Y U I O P Enter

Leia mais

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) Fundamentos de Sistemas Digitais 3/outubro/28 CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) profs. Alexandre M. Amory e Fernando G. Moraes Máquina de estados finita (FSM) Uma máquina de

Leia mais

LASERTECK SOFTECK FC MANUAL DO USUÁRIO

LASERTECK SOFTECK FC MANUAL DO USUÁRIO LASERTECK SOFTECK FC MANUAL DO USUÁRIO 2015 SUMÁRIO 1 INTRODUÇÃO... 3 2 REQUISITOS DO SISTEMA... 3 3 INSTALAÇÃO... 3 4 O QUE MUDOU... 3 5 COMO COMEÇAR... 4 6 FORMULÁRIOS DE CADASTRO... 5 7 CADASTRO DE

Leia mais

CONCEITOS BÁSICOS PARA A CONSTRUÇÃO DE ALGORITMOS PARA COMPUTADORES. Isac Aguiar isacaguiar.com.br isacaguiar@gmail.com

CONCEITOS BÁSICOS PARA A CONSTRUÇÃO DE ALGORITMOS PARA COMPUTADORES. Isac Aguiar isacaguiar.com.br isacaguiar@gmail.com CONCEITOS BÁSICOS PARA A CONSTRUÇÃO DE ALGORITMOS PARA COMPUTADORES Isac Aguiar isacaguiar.com.br isacaguiar@gmail.com Objetivos Compreender os conceitos de lógica de programação e de algoritmos. Conhecer

Leia mais

4. Tarefa 16 Introdução ao Ruído. Objetivo: Método: Capacitações: Módulo Necessário: Análise de PCM e de links 53-170

4. Tarefa 16 Introdução ao Ruído. Objetivo: Método: Capacitações: Módulo Necessário: Análise de PCM e de links 53-170 4. Tarefa 16 Introdução ao Ruído Objetivo: Método: Ao final desta Tarefa você: Estará familiarizado com o conceito de ruído. Será capaz de descrever o efeito do Ruído em um sistema de comunicações digitais.

Leia mais

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

Retificadores (ENG - 20301) Tutorial do Proteus Parte A - Simulação 1

Retificadores (ENG - 20301) Tutorial do Proteus Parte A - Simulação 1 2 GND CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE SANTA CATARINA DEPARTAMENTO ACADÊMICO DE ELETRÔNICA CURSO SUPERIOR DE TECNOLOGIA EM SISTEMAS ELETRÔNICOS Retificadores (ENG - 20301) Tutorial do Proteus

Leia mais

3. INTRODUÇÃO À LINGUAGEM C 3.1. CONCEITOS BÁSICOS. Lógica de Programação

3. INTRODUÇÃO À LINGUAGEM C 3.1. CONCEITOS BÁSICOS. Lógica de Programação Lógica de Programação 3. INTRODUÇÃO À LINGUAGEM C Caro Aluno Vamos iniciar o terceiro capítulo da nossa disciplina. Agora vamos começar a aplicar os conceitos vistos nos capítulos anteriores em uma linguagem

Leia mais

Manual de Montagem REVISÃO 312.20. www.robouno.com.br

Manual de Montagem REVISÃO 312.20. www.robouno.com.br Manual de Montagem REVISÃO 312.20 Manual de Montagem 01 Suporte Roda Caster 01 Roda Caster Parafusos 3x8mm Porcas 11 03 2 Observe a posição dos furos 01 Chassi Lateral 01 Motor Parafusos 3x8mm Porcas 12

Leia mais

Guia Rápido para uso de Placas USB para Discagem automatizada.

Guia Rápido para uso de Placas USB para Discagem automatizada. Guia Rápido para uso de Placas USB para Discagem automatizada. Principais fundamentos para utilizar placas discadoras: 1. Eliminar o teclado de discagem, com isso evitar o uso particular do telefone 2.

Leia mais

Presys Instrumentos e Sistemas

Presys Instrumentos e Sistemas Versão Especial - Controlador de combustão com duplo limite cruzado. Tabela de regulagem para limites cruzados. Alarmes de mistura rica e pobre. Entrada em contato força saídas para valores configuráveis.

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

Franklin Ramalho Universidade Federal de Campina Grande - UFCG

Franklin Ramalho Universidade Federal de Campina Grande - UFCG Agenda - Motivação e Introdução Diagrama de - - Atores - Fluxo de eventos - Relacionamentos Franklin Ramalho Universidade Federal de Campina Grande - UFCG - Diagramas de - Exemplos - Meta-modelo MOF -

Leia mais

ALGORITMOS E FLUXOGRAMAS

ALGORITMOS E FLUXOGRAMAS ALGORITMOS E FLUXOGRAMAS Prof. André Backes INTRODUÇÃO Computadores = cérebros eletrônicos? Computadores são máquinas e, por si sós, não podem ser inteligentes. Alguém as projetou e deu a ela todas as

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

COMO PROGRAMAR SEU TIME

COMO PROGRAMAR SEU TIME COMO PROGRAMAR SEU TIME 1) INSTALAÇÃO: Instale o simulador da categoria SIMUROSOT da FIRA. O simulador é gratuito e está disponível para download no site da FIRA (www.fira.net) ou no site da competição

Leia mais

Mais configuração OptraImage

Mais configuração OptraImage 1 fax Personalizarconfiguraçõesdecópia...2 Definirmanualmenteasinformaçõesbásicasdofax...3 Criardestinospermanentesdefax...5 Alterarasconfiguraçõesderecebereenviarfax...7 Ajustarasopçõesdeenviodefax...8

Leia mais

Manual de Instruções. Deve operar em ambientes isentos de gases corrosivos, poeiras inflamáveis ou materiais explosivos.

Manual de Instruções. Deve operar em ambientes isentos de gases corrosivos, poeiras inflamáveis ou materiais explosivos. Modelo: RPCF 3/ 12 Introdução O é apropriado para um eficiente controle da energia reativa das instalações elétricas. Ele é dotado de um microcontrolador com um poderoso algoritmo de otimização do fator

Leia mais

1 o º ciclo. Índice TUTORIAL

1 o º ciclo. Índice TUTORIAL 1 o º ciclo Índice ABRIR O WORD..2 E AGORA VAMOS À ESCRITA....4 TIPO DE LETRA.. 5 IMAGENS E GRAFISMOS...5 GUARDAR UM DOCUMENTO...6 IMPRIMIR UM DOCUMENTO...7 SAIR DO DOCUMENTO E DO WORD. 8 TUTORIAL O que

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 9ª Pratica: Computador de 16 bits Data:17/07/2014 Nome: Adrián Agüero Faraldo RA: 612227

Leia mais

Questões dadas em Sala de Aula (para cada turma), nas aulas de Teoria:

Questões dadas em Sala de Aula (para cada turma), nas aulas de Teoria: Questões dadas em ala de Aula (para cada turma), nas aulas de Teoria: - Para turmas 4P, 4Q, 4X, 3P (1o horário das semanas "Par"): 1) Elabore um Programa em, e o que recebe (via teclado) dois valores e

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Manual de Operação ibus Teclado 32i Modelo: ibus - Teclado

Manual de Operação ibus Teclado 32i Modelo: ibus - Teclado ibus Manual de Operação ibus Teclado 32i Modelo: ibus - Teclado Este produto está homologado pela ANATEL, de acordo com os procedimentos regulamentados pela Resolução 242/2000, e atende aos requisitos

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

INTRODUÇÃO À LINGUAGEM C++

INTRODUÇÃO À LINGUAGEM C++ INTRODUÇÃO À LINGUAGEM C++ 1 - VARIÁVEIS Variáveis espaço de memória reservado para armazenar tipos de dados, com um nome para referenciar seu conteúdo. Observações importantes Todas as variáveis devem

Leia mais

Tutorial :: Introdução ao VHDL em ambiente Design Works

Tutorial :: Introdução ao VHDL em ambiente Design Works Tutorial :: Introdução ao VHDL em ambiente Design Works Objectivos Familiarização com a linguagem VHDL Familiarização com a construção de modelos em VHDL utilizando o software DesignWorks. Trabalho a realizar

Leia mais

COINF. Roteiro de Treinamento 0800Net. Versões e Revisões deste Documento. Índice. 1. Nome do Projeto. 2. Roteiro. Roteiro Treinamento Solicitante

COINF. Roteiro de Treinamento 0800Net. Versões e Revisões deste Documento. Índice. 1. Nome do Projeto. 2. Roteiro. Roteiro Treinamento Solicitante Versões e Revisões deste Documento Data Comentário FEV/2009 Roteiro Treinamento Solicitante Autor Índice 1. Nome do Projeto...1 2. Roteiro...1 Entrando no sistema...1 Menu de Opções Meu Cadastro...2 Tela

Leia mais

Universidade Estadual do Ceará

Universidade Estadual do Ceará Universidade Estadual do Ceará Felipe de Almeida Xavier Joao Gonçalves Filho Trabalho Circuitos Lógicos Digitais Professor Domingos Sávio 20 de março de 2010 1 Sumário 1 Uma vista por cima 3 2 A macro

Leia mais

MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO

MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO MDMR-3P/III 1 Equipamento Fabricado por: SENSORES INDUSTRIAIS MAKSEN LTDA Rua José Alves, 388 Mogi Guaçu - SP CNPJ 04.871.530/0001-66 I.E. 455.095.131.110 www.maksen.com.br

Leia mais

Manual de utilização do módulo NSE METH 3E4RL

Manual de utilização do módulo NSE METH 3E4RL INSTALAÇÃO 1 - Baixe o arquivo Software Configurador Ethernet disponível para download em www.nse.com.br/downloads-manuais e descompacte-o em qualquer pasta de sua preferência. 2 - Conecte a fonte 12Vcc/1A

Leia mais

Manual de Instruções 98310 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO 98315 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO COM SOLENOIDE

Manual de Instruções 98310 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO 98315 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO COM SOLENOIDE Manual de Instruções 98310 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO 98315 BALANÇA DE CARGA DE REFRIGERANTE SEM FIO COM SOLENOIDE INSTRUÇÕES OPERACIONAIS BALANÇA SEM FIO DE CARGA DE REFRIGERANTE BALANÇA

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

7. Técnicas de Programação

7. Técnicas de Programação 7. Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 7.1 Fluxograma Definições Início/Fim Sub-rotinas Tomada de decisão Terminação 7.1 Fluxograma Exercícios Ex.1: Testa um botão e acende

Leia mais

PROGRAMAÇÃO BÁSICA DE CLP

PROGRAMAÇÃO BÁSICA DE CLP PROGRAMAÇÃO BÁSICA DE CLP Partindo de um conhecimento de comandos elétricos e lógica de diagramas, faremos abaixo uma revisão para introdução à CLP. Como saber se devemos usar contatos abertos ou fechados

Leia mais

Medidor Powersave V2 USB

Medidor Powersave V2 USB Medidor Powersave V2 USB O medidor é formado por uma caixa plástica contendo uma placa eletrônica, uma tomada macho, uma tomada fêmea, um conector H, um barramento lateral, um conector USB e leds indicativos.

Leia mais