EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

Tamanho: px
Começar a partir da página:

Download "EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL"

Transcrição

1 Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos da descrição de circuitos sequenciais, de máquinas de estados e outros recursos da linguagem VHDL. OBJETIVOS Após a conclusão desta experiência, os seguintes tópicos devem ser conhecidos pelos alunos: Apresentar recursos adicionais de VHDL (funções e procedimentos, máquina de estados, descrição estrutural); Desenvolvimento de projetos de contadores diversos usando VHDL. 1. DESCRIÇÃO DE CONTADORES Um contador é um circuito sequencial muito utilizado em projetos digitais. Apesar de ser simples, é um circuito interessante para mostrar recursos importantes da linguagem de descrição de hardware VHDL. O trecho de código abaixo mostra um contador simples, onde a saída segue uma contagem crescente. Figura 1.1 Descrição VHDL de um contador. O contador da descrição acima é um contador de 4 bits com reset assíncrono e sinal de enable da contagem. A implementação em VHDL usa um processo que usa uma variável contagem do tipo integer. Na ativação do sinal reset, a contagem é zerada e, na borda de subida do clock, se enable estiver ativado, a contagem é incrementada. Como a saída q tem tipo std_logic_vector, é usada a função de conversão de tipos conv_std_logic_vector para converter a contagem inteira em um vetor de bits. Contadores em VHDL (2014) 1

2 Na linha 15, a definição da variável contagem faz com que seja criado internamente um elemento com 4 bits para armazenar o valor da contagem atual. Para uso da função de conversão de tipos é necessário a inclusão da biblioteca ieee.std_logic_arith Displays de sete segmentos Para a verificação do funcionamento do contador, a saída q pode então ser mapeada um 4 leds em um placa de desenvolvimento de circuitos com FPGA. Normalmente, estas placas de desenvolvimento dispõem também de displays de sete segmentos. Para usar estes displays é necessário que a saída binária do contador seja convertida para o código de sete segmentos (figura 1.2). Figura 1.2 Display de 7 segmentos e alguns exemplos (fonte: [Wakerly, 2006]). O display de sete segmentos é composto por sete leds dispostos espacialmente como mostrado na figura 1.2 e identificados de a até g. Quando, por exemplo, o dígito 1 deve ser apresentado, os segmentos b e c devem ser ativados, então o código equivalente ao dígito 1 é (abcdefg). Na placa DE2, os sinais de controle dos leds nos displays de sete segmentos são ativos em baixo. Desta forma, para o dígito 1, o código de sete segmentos correspondente é A figura 1.3 apresenta uma descrição VHDL de um conversor de códigos. Figura 1.3 Descrição VHDL de um conversor para código de sete segmentos. Na placa de desenvolvimento DE2 da Altera, temos 8 displays de sete segmentos, identificados como HEX0 até HEX7. Cada segmento dos displays tem seu sinal assinalado em certos pinos da FPGA da placa. Por exemplo, os leds do display HEX0 estão mapeados conforme a tabela 1. Contadores em VHDL (2014) 2

3 Tabela 1 Mapeamento dos leds do display HEX0 da placa Altera DE2. Fonte: [Altera, 2008] Segmento Identificação Pino a HEX0[0] PIN_AF10 b HEX0[1] PIN_AB12 c HEX0[2] PIN_AC12 d HEX0[3] PIN_AD11 e HEX0[4] PIN_AE11 f HEX0[5] PIN_V14 g HEX0[6] PIN_V13 Assim, caso se deseje apresentar a saída do contador binário da figura 1.1 em um dos displays de sete segmentos, basta conectar o conversor da figura 1.3, conforme a figura 1.4 abaixo. Figura 1.4 Composição do contador binário e conversor para display de sete segmentos. A descrição VHDL correspondente à figura 1.4 é apresentada abaixo (figura 1.5). Nela, são usadas como componentes as entidades contador e conv_bin_7seg. Figura 1.5 Descrição VHDL do circuito com um contador binário e um conversor para display de sete segmentos. Contadores em VHDL (2014) 3

4 1.2. Máquina de estados Uma alternativa para a descrição de contadores é através de uma máquina de estados. O contador binário de 4 bits tem 16 estados (E0 até E15) em um diagrama de transição de estados circular (figura 1.6). E15 E0 E1 E14 E2 E13 E3 E12 E4 E11 E5 E10 E6 E9 E8 E7 Figura 1.6 Diagrama de transição de estados de um contador módulo 16. A figura 1.7 apresenta a descrição VHDL do contador binário com uma máquina de estados, usando três processos 1 : o primeiro processo verifica o sinal reset e muda o estado na borda de subida do clock. O segundo processo identifica o próximo estado e o terceiro especifica a saída do circuito para cada estado (máquina de Moore). Embora esta descrição seja mais longa que a descrição da figura 1.1, o uso de uma máquina de estados permite uma flexibilidade maior: por exemplo, com a mesma sequência de estados, é possível mudar os valores apresentados na saída do circuito. Para isto, basta modificar as linhas de código referentes ao processo saidas. Por exemplo, com poucas modificações é possível desenvolver a descrição de um contador módulo 16 com saídas em código Gray Funções em VHDL O uso da descrição de recursos em nível estrutural permite identificar componentes que são reutilizados em vários pontos de um sistema digital. Um exemplo simples de casos de componentes reutilizados é o conversor de código binário para o código de 7 segmentos, que permite mostrar um valor binário em displays de sete segmentos. Uma alternativa é usar recursos de linguagem na modelagem do sistema digital que permitem caracterizar estes módulos como um bloco separado da descrição do circuito, conhecidos genericamente como subprogramas. Em VHDL são disponíveis as funções e os procedimentos. Estes recursos da linguagem de descrição de hardware têm conceitos muito semelhantes aos seus correspondentes das linguagens de programação, conforme tabela 2 abaixo. Embora seja um bloco separado de código, ao contrário dos conceitos similares de software que levam a uma diminuição do tamanho total do código executável gerado, o uso destes recursos de linguagem de descrição de hardware não geram um circuito menor, pois cada chamada leva a criação de uma nova unidade funcional no circuito sintetizado. Podemos dizer que uma das vantagens no uso de funções e procedimentos é melhorar a legibilidade e entendimento da descrição VHDL. Uma descrição mais detalhada sobre funções e procedimentos em VHDL pode ser encontrada em [d Amore 2012] e [Rushton, 2011]. 1 É possível implementar uma máquina de estados em VHDL usando três processos, dois processos ou apenas 1 único processo. Para mais informações consulte a referência [Rushton, 2011]. Contadores em VHDL (2014) 4

5 Figura 1.7 Contador binário descrito com uma máquina de estados. Contadores em VHDL (2014) 5

6 Tabela 2 Algumas características de funções e procedimentos em VHDL. Características Função Procedimento retorno de valor parâmetros comandos conceito sobrecarga retorna um valor através do comando return lista de parâmetros deve usar modo in obrigatoriamente generalização de uma expressão (pode ser usado dentro de um comando) contém comandos sequenciais pode retornar vários valores através dos parâmetros passados ao procedimento parâmetros podem possuir modos in, inout ou out (valores de retorno usam modo out ou inout) generalização de um comando (pode ser considerado como um bloco de comandos) é possível criar vários subprogramas com mesmo nome, mas com lista de parâmetros e valores de retorno diferentes A figura 1.8 apresenta um exemplo de uso de funções em uma descrição VHDL. A função conv_7seg é definida entre as linhas 18 e 41: possui apenas um parâmetro de entrada (vetor d de 4 bits) e retorna um vetor de bits (std_logic_vector). Na linha 20 é definida a varíavel saida que será usada para determinar o valor a ser retornado. A seleção do valor de retorno usa o comando sequencial case (linhas 22 a 39). O comando return é usado somente uma vez (linha 40). A linha 47 contém a chamada à função conv_7seg dentro do comando de atribuição. Figura 1.8 Contador binário descrito usando função em VHDL. Contadores em VHDL (2014) 6

7 2. PARTE EXPERIMENTAL A parte experimental desta experiência diz respeito à implementação de circuitos contadores em linguagem VHDL. Nesta experiência será usado o dispositivo lógico programável Altera Cyclone II EP2C35F672C6 da placa de desenvolvimento DE Atividades Pré-Laboratório Experimentação com VHDL Antes do projeto dos circuitos da experiência, é importante a experimentação dos conceitos apresentados na seção 1. Desta forma deve-se: a) Para cada um dos circuitos apresentados (contador binário, conversor para código de 7 segmentos, contador binário com saída para display de sete segmentos, contador com máquina de estados), estude cada uma das descrições VHDL e escreva uma descrição das linhas de código. Por exemplo, no contador binário crescente: As linhas 6 a 9 apresentam a definição da entidade. São definidos três sinais de entrada (clock, reset e enable com 1 bit) e 1 sinal de saída (q com 4 bits). O tipo destes quatro sinais de entrada e saída é std_logic. b) A seguir, digite o código VHDL de cada exemplo, crie um projeto no Quartus II e execute simulações para verificar seu funcionamento. c) Anexe as formas de onda no planejamento Especificação do Contador Bidirecional O primeiro circuito a ser desenvolvido é um contador bidirecional de 4 bits. Baseado no valor do sinal sentido, o valor da contagem deve ser crescente (sentido=0) ou decrescente (sentido=1). A saída do circuito deve ser monitorado em leds. Considere a seguinte definição de entidade: entity contador_updown is port (clock, reset, enable : in std_logic; sentido : in std_logic; saida : out std_logic_vector (3 downto 0)); end contador_updown; A contagem também é controlada pelo sinal enable (contagem muda quando enable=1). A interface do circuito é mostrada na figura 2.1. clock reset enable sentido contador bidirecional saida (LEDG0 a LEDG3) Figura 2.1 Contador bidirecional. d) Desenvolva o código VHDL e documente-o com uma descrição do código fonte e formas de onda das simulações do seu funcionamento. e) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saida[0..3] : leds verdes LEDG0 a LEDG3 DICA: lembrem-se que os botões na placa DE2 são ativos em baixo. O projeto deve levar isto em consideração. Use a tabela de designação de pinos da placa DE2. f) Defina alguns sinais de depuração adicionais podem ser usados para validar o circuito. Contadores em VHDL (2014) 7

8 Especificação do Contador em Código Gray com saída em Display de 7 Segmentos O segundo circuito a ser projetado é um contador bidirecional em código Gray com saídas em displays de sete segmentos. Usando uma descrição estrutural, implemente o circuito em VHDL com a interface abaixo. entity contgray7seg is port (clock, reset, enable, sentido : in std_logic; saidabin : out std_logic_vector (6 downto 0); saidagray : out std_logic_vector (6 downto 0)); end contgray7seg; Projete inicialmente o bloco conversor-binario-gray para a conversão de código binário para código Gray, conforme a seguinte interface. entity conv_bin_gray is port (d : in std_logic_vector (3 downto 0); q : out std_logic_vector (3 downto 0)); end conv_bin_gray; Em seguida, complete a descrição com a conexão dos componentes segunda a estrutura apresentada na figura 2.2. clock reset enable sentido contador bidirecional contagem conversor binário-7 segmentos saidabin (display HEX1) conversor binário-gray contagemgray conversor binário-7 segmentos saidagray (display HEX0) Figura 2.2 Estrutura do contador em código Gray com saídas em display de 7 segmentos. g) Desenvolva o código VHDL e documente-o com uma descrição do código fonte e formas de onda das simulações do seu funcionamento. h) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saidagray : display HEX0 saidabin : display HEX1 DICA: lembrem-se que os botões na placa DE2 são ativos em baixo. O projeto deve levar isto em consideração. Use a tabela de designação de pinos da placa DE2. i) Defina alguns sinais de depuração adicionais podem ser usados para validar o circuito. Contadores em VHDL (2014) 8

9 Especificação do Contador em Código de Petherick com Máquina de Estados O terceiro circuito a ser projetado é um contador em código de Petherick usando uma máquina de estados. A interface deste contador é definida abaixo. entity contpetherickme is port (clock, reset, enable : in std_logic; saidabin : out std_logic_vector (6 downto 0); saida : out std_logic_vector (6 downto 0)); end contpetherickme; Temos duas saídas do circuito: uma saída da contagem binária saidabin e uma saída com a contagem em código de Petherick saida. Ambas as saídas devem ser conectadas em displays de sete segmentos. Use uma descrição estrutural conforme a figura 2.3. clock reset enable sentido contador Petherick contagem conversor binário-7 segmentos saidabin (display HEX1) contagemp conversor binário-7 segmentos saida (display HEX0) Figura 2.3 Estrutura do contador em código de Petherick. j) Desenvolva o código VHDL do circuito. Documente o projeto com uma descrição do código fonte e formas de onda das simulações. k) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saida : display HEX0 saidabin : display HEX1 DICA: lembrem-se que os botões na placa DE2 são ativos em baixo. O projeto deve levar isto em consideração. Use a tabela de designação de pinos da placa DE2. l) Defina alguns sinais de depuração adicionais podem ser usados para validar o circuito. Contadores em VHDL (2014) 9

10 2.2. Implementação dos Circuitos m) Teste os circuitos projetados na placa de desenvolvimento Altera DE2 e apresente seu funcionamento ao professor. n) Documente no relatório o processo desenvolvido em bancada Modificação em Circuito o) Uma pequena modificação ou adaptação de um dos projetos será solicitada aos alunos. Esta modificação deverá ser implementada em bancada. A descrição e a documentação desta modificação devem ser incluídas no relatório Atividades Pós-Laboratório p) Após a conclusão das atividades programadas, responda as perguntas abaixo: 1. Compare a forma de conexão de componentes em um diagrama esquemático (forma gráfica) e uma descrição estrutural em VHDL. 2. Compare a estratégia de projeto do contador em código de Petherick com componentes TTL e usando uma linguagem de descrição de hardware. Cite duas vantagens da linguagem VHDL. 3. Que modificações seriam necessárias no projeto para usar o recurso de funções para a conversão das saídas para os displays de sete segmentos? Mostre a descrição VHDL. 4. Mostre como este projeto poderia ser adaptado para uma contagem em outro código binário. Exemplifique. 3. BIBLIOGRAFIA 1. ALTERA. DE2 Development and education board user manual Version ALTERA. Quartus II Introduction Using VHDL Designs. University Program Disponível em: ftp://ftp.altera.com/up/pub/altera_material/9.1/tutorials/vhdl/quartus_ii_introduction.pdf 3. D AMORE, R. VHDL - Descrição e síntese de circuitos digitais. 2ª edição, LTC, MIDORIKAWA, E.T. Introdução às Linguagens de Descrição de Hardware. Apostila de PCS2304, Escola Politécnica da USP, MIDORIKAWA, E.T. Contador em Código de Petherick. Apostila de Laboratório Digital, RANZINI, E.; HORTA, E. L. Introdução aos Dispositivos Lógicos Programáveis. Apostila de Laboratório Digital. Escola Politécnica da USP, 2000 (revisão em 2011). 7. RUSHTON, A. VHDL for logic synthesis. 3 rd edition, Wiley, TOCCI, R. J.; WIDMER, N. S.; MOSS, G. L. Digital Systems: principles and applications. 11 th ed., Prentice-Hall, EQUIPAMENTOS NECESSÁRIOS 1 placa de desenvolvimento FPGA DE2 da Altera com o dispositivo Altera Cyclone II EP2C35F672C6. 1 computador PC com programa Altera Quartus II e interface USB. Histórico de Revisões E.T.M. / 2012 versão inicial. E.T.M. / 2013 revisão. E.T.M. / 2014 revisão. Contadores em VHDL (2014) 10

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 RESUMO Nesta experiência será implementado circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia para projeto estruturado

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS E.T.M./2007 (adaptação) E.T.M./2011 (revisão) E.T.M./2012 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais

Leia mais

Circuito Hierárquico

Circuito Hierárquico Circuito Hierárquico Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital de forma hierárquico. Uma hierarquia de módulos compõe o projeto, onde cada módulo

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar Sistema de Radar Versão 2015 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a detecção de objetos próximo com um sensor ultrassônico de distância e um servo-motor. A implementação

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

CRONÔMETRO DIGITAL PROJETO

CRONÔMETRO DIGITAL PROJETO CRONÔMETRO DIGITAL PROJETO OBJETIVOS: a) Verificação do funcionamento dos contadores; b) Aplicabilidade de circuitos contadores; c) Verificação do funcionamento de um cronômetro digital. INTRODUÇÃO TEÓRICA

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Introdução. Display de sete segmentos

Introdução. Display de sete segmentos Código do Laboratório: AP08 Data: 30/04/2009 Nomes: Bruno Jurkovski Cartão número 172865 Marcos Vinicius Cavinato Cartão número 171774 Turma D Introdução A aula prática de 30/04/2009 consiste das seguintes

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

MELHORAMENTO NO PROCESSADOR SIMPLES

MELHORAMENTO NO PROCESSADOR SIMPLES MELHORAMENTO NO PROCESSADOR SIMPLES Versão 2014 RESUMO Esta experiência tem como objetivo o desenvolvimento de um melhoramento no projeto de um núcleo de um processador simples. Na parte experimental este

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014 Objetivos 7. Funções de Lógica Combinacional Fazer distinção entre meio-somadores e somadores-completos Usar somadores-completos para implementar somadores binários em paralelo Explicar as diferenças entre

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Laboratório de Circuitos Digitais 1

Laboratório de Circuitos Digitais 1 Universidade Estadual Paulista ampus de Sorocaba Laboratório de ircuitos Digitais 1 Experimento 03: Projeto e simulação de decodificador para display Experimento com atividade pré-aula Prof. lexandre da

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Circuito de Aritmética Decimal

Circuito de Aritmética Decimal Circuito de Aritmética Decimal Versão 2017 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits. Circuitos aritméticos integrados, como

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Interconexão do Computador Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes -

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Sistemas Digitais Ficha Prática Nº 7

Sistemas Digitais Ficha Prática Nº 7 Departamento de Sistemas Digitais Ficha Prática Nº 7 Implementação de um conversor analógico/ digital tipo Flash: com Codificador e com Descodificador Grupo: Turma: Elementos do Grupo: 1. Introdução Os

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento

Leia mais

Q(A, B, C) =A.B.C + A.B.C + A.B.C + A.B.C + A.B.C + A.B.C. m(1, 2, 3, 6) T (A, B, C, D) =A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.

Q(A, B, C) =A.B.C + A.B.C + A.B.C + A.B.C + A.B.C + A.B.C. m(1, 2, 3, 6) T (A, B, C, D) =A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C. Módulo Representação de sistemas digitais e implementação com componentes TTL Objectivos Pretende-se que o aluno compreenda o relacionamento entre a representação por tabelas e por expressões booleanas.

Leia mais

Programação de Computadores I. Linguagem C Função

Programação de Computadores I. Linguagem C Função Linguagem C Função Prof. Edwar Saliba Júnior Fevereiro de 2011 Unidade 07 Função 1 Conceitos As técnicas de programação dizem que, sempre que possível, evite códigos extensos, separando o mesmo em funções,

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Introdução à Programação. Funções e Procedimentos. Prof. José Honorato F. Nunes honoratonunes@gmail.com

Introdução à Programação. Funções e Procedimentos. Prof. José Honorato F. Nunes honoratonunes@gmail.com Introdução à Programação Funções e Procedimentos Prof. José Honorato F. Nunes honoratonunes@gmail.com RESUMO DA AULA SUB-ROTINAS: Procedimentos Funções Escopo de variáveis Parâmetros Prof. José Honorato

Leia mais

Capítulo VI Circuitos Aritméticos

Capítulo VI Circuitos Aritméticos Capítulo VI Circuitos Aritméticos Introdução No capítulo anterior estudamos a soma e subtração de números binários. Neste capítulo estudaremos como as operações aritméticas de soma e subtração entre números

Leia mais

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A FLIP FLOPS M-1113A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Objetivos... 2 2.

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns P234 ONTAOR E UN Rev.2 Exemplo 2 de Projeto de ircuito íncrono ontador de Uns (Resumo elaborado por Edith Ranzini, a partir do exemplo extraído do livro GAJKI, ANIEL. Principles of igital esign - 997 com

Leia mais

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 6 Display, Decodificadores e Codificadores Prof.: Michael LED Diodo emissor de luz (LED) Para nós será utilizado para dar uma indicação luminosa do nível lógico de sinal; Ligado

Leia mais

Objetivo: Levantamento de pontos da curva temporizada

Objetivo: Levantamento de pontos da curva temporizada Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: ABB Modelo: SPAM 150 C Funções: 46 ou PIOC Desbalanço de Corrente Instantâneo e 46 ou PTOC Desbalanço de Corrente Temporizado Ferramenta Utilizada:

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

Sistemas Digitais II. Interface com o mundo analógico. Prof. Marlon Henrique Teixeira Abril/2014

Sistemas Digitais II. Interface com o mundo analógico. Prof. Marlon Henrique Teixeira Abril/2014 Sistemas Digitais II Interface com o mundo analógico Prof. Marlon Henrique Teixeira Abril/2014 Objetivos Compreender a teoria de funcionamento e as limitações dos circuitos de diversos tipos de conversores

Leia mais

LINGUAGEM SQL Linguagem usada em SGBD para: Definir estrutura de dados; Modificar dados em um banco de dados; Especificar restrições de segurança; Rea

LINGUAGEM SQL Linguagem usada em SGBD para: Definir estrutura de dados; Modificar dados em um banco de dados; Especificar restrições de segurança; Rea BANCO DE DADOS Prof. Fabiano Taguchi http://fabianotaguchi.wordpress.com fabianotaguchi@hotmail.com SQL A Structed Query Language foi desenvolvida em 1974 nos laboratório da IBM em San José na Califórnia,

Leia mais

APOSTILA DE ELETRÔNICA DIGITAL II

APOSTILA DE ELETRÔNICA DIGITAL II MINISTÉRIO DA EDUCAÇÃO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SÃO PAULO CAMPUS DE PRESIDENTE EPITÁCIO APOSTILA DE ELETRÔNICA DIGITAL II Prof. Andryos da Silva Lemes Esta apostila é destinada

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Desenvolvimento de um Circuito Complexo

Desenvolvimento de um Circuito Complexo Desenvolvimento de um Circuito Complexo Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital complexo ou não trivial. Para isto será estudada uma metodologia

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

Documento de Requisitos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0

Documento de Requisitos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0 SISFOTO Sistema de Gerenciamento de Eventos Fotográficos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0 Histórico de Alterações Data Versão Descrição Autor 17/10/2014 1.0

Leia mais

Profª Danielle Casillo

Profª Danielle Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Automação e Controle Aula 09 Linguagem LadderSistemas Profª Danielle Casillo Sistemas Os diagramas lógicos estudados anteriormente

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Módulos Combinatórios

Módulos Combinatórios Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Módulos Combinatórios Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Somadores

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Gerência de Memória. Algoritmos de Substituição de Páginas

Gerência de Memória. Algoritmos de Substituição de Páginas Gerência de Memória Algoritmos de Substituição de Páginas Introdução Quando ocorre um Page Fault, o S.O. deve escolher que página remover para abrir espaço em memória. Se a página foi alterada (bit Modified

Leia mais

Universidade Federal do ABC Disciplina: Natureza da Informação Lista de Exercícios 02 Códigos e conversão A/D Prof. João Henrique Kleinschmidt

Universidade Federal do ABC Disciplina: Natureza da Informação Lista de Exercícios 02 Códigos e conversão A/D Prof. João Henrique Kleinschmidt Universidade Federal do ABC Disciplina: Natureza da Informação Lista de Exercícios 02 Códigos e conversão A/D Prof. João Henrique Kleinschmidt A lista é individual e deve ser escrita à mão. 1) Uma importante

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

RESUMO TEÓRICO CONVERSORES DIGITAL/ANALÓGICO

RESUMO TEÓRICO CONVERSORES DIGITAL/ANALÓGICO RESUMO TEÓRICO CONERSORES DIGITAL/ANALÓGICO Baseado na apostila Conversor Digital/Analógico da disciplina PCS 2498 LABORATÓRIO DE PROCESSADORES II Autores: Andréa Massamyi Matsunaga e Maurício Ossamu Tsugawa

Leia mais

EMENTA. Curso de Projetos Microcontrolados utilizando Linguagem C.

EMENTA. Curso de Projetos Microcontrolados utilizando Linguagem C. EMENTA Curso de Projetos Microcontrolados utilizando Linguagem C. Descrição dos Cursos A Hit Soluções Tecnológicas vem através deste documento apresentar a proposta de dois cursos de projetos baseados

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2011 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

3. COMPILAÇÃO E ESTRUTURA BÁSICA DE UM PROGRAMA EM C

3. COMPILAÇÃO E ESTRUTURA BÁSICA DE UM PROGRAMA EM C 3. COMPILAÇÃO E ESTRUTURA BÁSICA DE UM PROGRAMA EM C 3.1. Compilação de um Programa C O compilador C realiza a compilação do código-fonte de um programa em cinco etapas: edição, pré-processamento, compilação,

Leia mais

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL ÁLGEBRA BOOLEANA- LÓGICA DIGITAL LÓGICA DIGITAL Álgebra Booleana Fundamentação matemática para a lógica digital Portas Lógicas Bloco fundamental de construção de circuitos lógicos digitais Circuitos Combinatórios

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Circuitos Aritméticos

Circuitos Aritméticos Circuitos Aritméticos Semi-Somador Quando queremos proceder à realização de uma soma em binário, utilizamos várias somas de dois bits para poderemos chegar ao resultado final da operação. Podemos, então,

Leia mais

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1 Caminho de Dados e Controle Prof. Leonardo Barreto Campos 1 Sumário Introdução; Convenções Lógicas de Projeto; Construindo um Caminho de Dados; O Controle da ULA; Projeto da Unidade de Controle Principal;

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

INTEGRAÇÃO JAVA COM ARDUINO

INTEGRAÇÃO JAVA COM ARDUINO INTEGRAÇÃO JAVA COM ARDUINO Alessandro A. M. De Oliveira 3, Alexandre O. Zamberlan 3, Reiner F Perozzo 3, Rafael O. Gomes 1 ;Sergio R. H Righi 2,PecilcesP. Feltrin 2 RESUMO A integração de Linguagem de

Leia mais

Laboratório de Eletrônica Digital

Laboratório de Eletrônica Digital Laboratório de Eletrônica Digital Controle de Lâmpadas Three-Way Experiência N o 01 Prof. Ivan Sebastião de Souza e Silva Experiência N o 01 Controle de Lâmpadas Three-Way Experiências de Eletrônica Digital

Leia mais

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS Código BCD; Comparação entre BCD e Binário; Circuitos Digitais para BCD; Código Gray; Código ASCII; Detecção de erros pelo método de Paridade O que é um Código?

Leia mais

Informática Aplicada

Informática Aplicada Informática Aplicada Aula 1 Introdução Diogo Pinheiro Fernandes Pedrosa Departamento de Ciências Exatas e Naturais Universidade Federal Rural do Semi-Árido Introdução Informática informação aplicada; Pressupõe

Leia mais

Projeto de Circuitos Aritméticos

Projeto de Circuitos Aritméticos Projeto de Circuitos Aritméticos E.T.M./2011 (adaptação) E.T.M./2012 (revisão) RESUMO Nesta experiência será desenvolvido um circuito aritmético usando a metodologia estruturada apresentada nas experiências

Leia mais