UTFPR EL66J 2011/2. Módulos-padrão combinacionais

Tamanho: px
Começar a partir da página:

Download "UTFPR EL66J 2011/2. Módulos-padrão combinacionais"

Transcrição

1 UTFPR EL66J 2/2 Módulos-padrão combinacionais

2 Refresh istemas numéricos e códigos binários. Análise e projeto de circuitos combinacionais. Módulos-padrão combinacionais Nesta aula: ecodificador (decoder), codificador (encoder) emultiplexador (demux, demultiplexer), multiplexador (mux, multiplexer) omador (adder) 2

3 ecodificador (decoder) Converte entradas codificadas em saídas codificadas, sendo que os códigos de entrada e saída são diferentes. ecoder binário: n entradas, 2 n saídas. Utilizado quando se quer ativar exatamente de 2 n saídas, a partir de uma entrada de n bits. 3

4 ecoder binário Entrada: um binário de n bits aída: -de-m (m=2 n ) Exemplo: ecoder 2-para-4 Tabela Nivel de gates (circuito)... 4

5 ecoder 2-para-4 I I I I Y Y Y 2 Y 3 Inputs Outputs I I Y Y Y2 Y3 Y Y Y 2 Y 3 Inputs Outputs I I Y Y Y2 Y3 I I I I Y Y Y 2 Y 3 Inputs Outputs I I Y Y Y2 Y3 Y Y Y 2 Y 3 Inputs Outputs I I Y Y Y2 Y3 5

6 ecoder binário Exemplo: ecoder 2-para-4 com Enable (entrada de habilitação) Tabela Nivel de gates (circuito)... 6

7 ecoder 2-para4 com enable I I EN Y Y Y 2 Y 3 EN Inputs Outputs I I Y Y Y2 Y3 X X 7

8 ecoder binário Exemplo: ecoder 3-para-8 comercial 74x38 8

9 Cuidado com o símbolo! Qual é o erro? 9

10 Cuidado com o símbolo! Qual é o erro? INVERÃO UPLA: POUCO RECOMENÁVEL OK OK

11 ecoder binário Exemplo: ecoder 2-para-4 comercial 74x39 2-para-4 duplo

12 Não é necessário que todas as palavras de código da entrada estejam contempladas na saída. Exemplo ecoder BC 4 entradas 6 palavras de código saídas 6 saídas não são decodificadas... 2

13 ecoder BC para 7 segmentos Também chamado de conversor de código Entradas: BC aídas: 7 segmentos (uma linha para cada segmento) aídas Tabela Entradas 3

14 Codificador (encoder) O código de saída tem menos bits que o código de entrada. Função oposta àquela do decoder. Encoder binário: 2 n entradas, n saídas. Utilizado quando se quer codificar (identificar) a entrada ativa (apenas entrada ativa). 4

15 Encoder binário n = 3 Entrada: 2 n linhas (código -de-m) aída: n linhas Exemplo: Encoder 8-para-3 Tabela Inputs I7 I5 I4 I3 I2 I I Outputs Y2 Y Y Nivel de gates (circuito)...

16 Encoder binário 8-para-3 Em geral, um encoder de 2 n -para-n pode ser construído a partir de n gates OR de 2 n- entradas. A entrada In é conectada ao gate jn se o bit jn na representação binária de In for. Representações binárias de I j2 j j I I I2 I3 I4 I5 I6 I7 I7 I5 I4 I3 I2 I I j j j2 Y Y Y2 Y = I + I3 + I5 + I7 Y = I2 + I3 + I6 + I7 Y2 = I4 + I5 + I6 + I7 6

17 Prioridade: se mais de uma entrada estiver ativa, a saída obedece a entrada de maior prioridade. Exemplo: Encoder 8-para-3 com prioridade: 74x48 7

18 emultiplexador (demux) Chaveia uma única entrada para várias saídas. Também chamado de distribuidor de dados. Exemplo: demux -para-4 (demux de 4 saídas) Analogia com chaves Tabela... Nivel de gates (circuito)... 8

19 Exemplo: demux -para-4 (demux de 4 saídas) A A A A ATA seleção A A 2 3 Inputs Outputs 2 3 A A 2 3 ATA seleção A A Inputs A A Outputs 2 3 ATA é o dado = = ou ATA A A A A ATA seleção A A 2 3 ATA 2 3 Inputs A A Outputs 2 3 ATA seleção A A 2 3 ATA 2 3 Inputs A A Outputs 2 3 9

20 Exemplos 74x39 2-to-4 line decoder/demux 74x38 3-to-8 line decoder/demux 74x54 4-to-6 line decoder/demux L = nível lógico baixo H = nível lógico alto = entrada de dados 74x54 74x39 74x38 L H L 2

21 Multiplexador (mux) Chaveia várias entradas para uma única saída. Também chamado de seletor de dados. Exemplo: mux 4-para- (mux de 4 entradas) Analogia com chaves Tabela... Nivel de gates (circuito)... 2

22 Exemplo: mux 4-para- (mux de 4 entradas) A A A A I I I 2 I 3 a b c d seleção A A a I I I 2 I 3 a b c d a a a Inputs A A Out a (é I) I I I 2 I 3 a b c d seleção A A b I I I 2 I 3 a b c d b b b Inputs A A Out a (é I) b (é I) A A A A I I I 2 I 3 a b c d seleção A A c I I I 2 I 3 a b c d c c c Inputs A A Out a (é I) b (é I) c (é I2) I I I 2 I 3 a b c d seleção A A d I I I 2 I 3 a b c d d d d Inputs A A Out a (é I) b (é I) c (é I2) d (é I3)

23 Exemplos 74x57 quad 2-input multiplexer 74x53 dual 4-input multiplexer 74x5 8-input multiplexer n = entradas de seleção (determina qual entrada é selecionada) 74x57 74x53 74x5 23

24 Um circuito com mux de 2 entradas quádruplo Há 4 chaves de 2 posições cada, todas controladas pela mesma entrada de seleção. É possível selecionar de 2 fontes de 4 bits cada. Os b bits de uma fonte em particular são distribuídos através de b chaves de n posições cada, para acomodar as n fontes. 24

25 mux/demux John F. Wakerly, igital esign Principles and Practices, 3rd ed., Prentice-Hall, fig

26 omador (adder) Co Ci O carry out da soma atual é o carry in da próxima A B Uma célula full-adder é capaz de resolver uma coluna de uma soma. Célula somador completo (full-adder) Então, para fazer um somador capaz de somar 2 números de n bits, precisamos de n células full-adder. 26

27 Projetar uma célula full-adder Inputs Outputs A B Ci Co

28 Exemplo A partir de células full-adder, obter um ripple-carry adder de 4 bits. a 3 a 2 a a b 3 b 2 b b + a 3 b 3 a 2 b 2 a b a b A B C i A B C i A B C i A B C i s 4 s 3 s 2 s s C o C o C o C o s 4 s 3 s 2 s s 28

29 Números binários com sinal Representação em complemento de 2 Para obter o complemento de 2 de um binário: o. inverter cada bit 2 o. somar O bit MB é o bit de sinal MB = (+) MB = (-) Com um binário de n bits, pode-se representar de -2 n- até 2 n- - John F. Wakerly, igital esign Principles and Practices, 3rd ed., Prentice-Hall, fig

30 Utilizando um circuito somador e binários em complemento de 2, é possível realizar também a operação de subtração. Exemplo John F. Wakerly, igital esign Principles and Practices, 3rd ed., Prentice-Hall, pp. 35 3

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Circuitos Digitais Rodrigo Hausen CMCC UFABC 4 e 6 de março de 2013 http://compscinet.org/circuitos Rodrigo Hausen (CMCC UFABC) Aula 11: Blocos

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: DESCODIFICADORES CODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de CIRCUITOS

Leia mais

Circuitos Lógicos e Digitais

Circuitos Lógicos e Digitais PUC-Campinas - Faculdade de Engenharia de Telecomunicações Circuitos Lógicos e Digitais Prof. Frank Behrens Circuitos Combinacionais Aplicação em Circuitos para Divididos em quatro classes de circuitos:

Leia mais

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z.

Sistemas Digitais. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Buffers 3 estados (Three-state buffers) SAÍDA = LOW, HIGH, or Hi-Z. Várias saídas podem ser ligadas entre si, no entanto só uma delas pode estar activa. 7ª aula 1-33 Aplicação Z-Buffers 7ª aula 2-33 Drivers

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes UNIVERSIDDE TECNOLÓGIC FEDERL DO PRNÁ DEPRTMENTO CDÊMICO DE ELETROTÉCNIC ELETRÔNIC DIGITL - ET75C - Profª Elisabete N Moraes UL 7 MULTIPLEXDORES E DEMULTIPLEXDORES Em 7 de novembro de 2014. NOÇÕES SOBRE

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

Disciplina: : ELETRÔNICA DIGITAL

Disciplina: : ELETRÔNICA DIGITAL Disciplina: : ELETRÔNICA DIGITAL Professor: Júlio César Madureira Silva Julho 2011 1 Ementa: 1. Sistemas de numeração Numeração decimal Numeração binária Numeração octal Numeração

Leia mais

Circuitos Combinacionais. Sistemas digitais

Circuitos Combinacionais. Sistemas digitais Circuitos Combinacionais Sistemas digitais Agenda } Codificador X Decodificador } Código BCD 8421, código BCH, código 9876543210 } Display de 7 segmentos } Multiplexador X Demultiplexador } Comparadores

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES DA UFF) CIRCUITOS DIGITAIS (CURSO DE BACHARELADO EM INFORMÁTICA DA UFF)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES DA UFF) CIRCUITOS DIGITAIS (CURSO DE BACHARELADO EM INFORMÁTICA DA UFF) UNIVERSIDADE FEDERAL FLUMINENSE CENTRO TECNOLÓGICO - ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES APOSTILA PARA DISCIPLINAS DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2012 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Cap9) Circuitos Lógicos MSI

Cap9) Circuitos Lógicos MSI Cap9) Circuitos Lógicos MSI As informações e os dados são codificados em binário e são continuamente submetidos a diversas operações. Inúmeros CI s que realizam estas operações estão presentes na categoria

Leia mais

Circuitos Digitais Cap. 5

Circuitos Digitais Cap. 5 Circuitos Digitais Cap. 5 Prof. José Maria P. de Menezes Jr. Objetivos Aritmética Digital Adição Binária Subtração Binária Representação de números com sinal Complemento de 2 Negação Subtração como soma

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

Aritmética Binária e. Bernardo Nunes Gonçalves

Aritmética Binária e. Bernardo Nunes Gonçalves Aritmética Binária e Complemento a Base Bernardo Nunes Gonçalves Sumário Soma e multiplicação binária Subtração e divisão binária Representação com sinal Sinal e magnitude Complemento a base. Adição binária

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

21/07/2010 LED ELETRÔNICA DIGITAL. LED Tipos. LED Princípio de funcionamento. Display de 7 segmentos. LED Circuito de polarização

21/07/2010 LED ELETRÔNICA DIGITAL. LED Tipos. LED Princípio de funcionamento. Display de 7 segmentos. LED Circuito de polarização 2/7/2 LED ELETRÔNICA DIGITAL Parte 6 Display, Decodificadores e Codificadores Diodo emissor de luz (LED) Para nós será utilizado para dar uma indicação luminosa do nível lógico de sinal; Ligado nível lógico

Leia mais

5º Experimento: Somador Binário de números com sinal

5º Experimento: Somador Binário de números com sinal Capítulo 1 5º Experimento: Somador Binário de números com sinal 1.1 Objetivos Usar uma porta XOR de duas entradas para realizar a função unária de negação. Usar um somador binário para somar números sem

Leia mais

Exercícios de Circuitos Combinacionais

Exercícios de Circuitos Combinacionais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios de Circuitos Combinacionais FONTE: ENADE 2005 e 2008 Exercício 1 2 João, ao tentar consertar o módulo eletrônico de um carrinho de brinquedos, levantou

Leia mais

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS E.T.M./2007 (adaptação) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO ESCOLA SECUNDÁRIA JOÃO GONÇALVES ZARCO-402011 CURSO PROFISSIONAL TÉCNICO DE GESTÃO DE EQUIPAMENTOS INFORMÁTICOS SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º 12 PLANIFICAÇÃO MODULAR MÓDULO N.º 1

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores 22 1.Objetivos Utilizar um circuito multiplexador e um demultiplexador como elementos básicos de um sistema de transmissão de uma palavra de 8 bits. Utilizar o multiplexador para implementar uma função

Leia mais

Operações aritméticas

Operações aritméticas Circuitos Lógicos Operações aritméticas Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Adição binária A soma binária ocorre da mesma forma que a decimal:» A operação sobre os dígitos na

Leia mais

Experimento 03 Circuito Decodificador e Multiplex

Experimento 03 Circuito Decodificador e Multiplex Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 03 Circuito Decodificador e Multiplex Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário

Leia mais

Eletrônica Digital 1 Módulo1 Capítulo 1 Sistemas Numéricos. Prof. Nilton Costa Junior

Eletrônica Digital 1 Módulo1 Capítulo 1 Sistemas Numéricos. Prof. Nilton Costa Junior Eletrônica Digital 1 Módulo1 Capítulo 1 Sistemas Numéricos Prof. Nilton Costa Junior Sistemas Numéricos Existem vários sistemas numéricos: Decimal Binário Octal Hexadecimal Sistema Decimal representado

Leia mais

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Operações Aritméticas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Adição no Sistema Binário: É desenvolvida de forma idêntica ao sistema decimal; Apenas quatro casos podem ocorrer: Adição

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes //24 UNIVERIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 6 CODIFICADORE E DECODIFICADORE Em 3 de outubro de 24.

Leia mais

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos UNIPAC Sistemas Digitais Sistemas de Numeração Engenharia da Computação 3 Período Alex Vidigal Bastos 1 Agenda Objetivos Introdução Sistema Binário Sistema Octal Sistema Hexadecimal Aritméticas no Sistema

Leia mais

o o o o Visão Geral da Disciplina Sistemas de Numeração Exercícios Resumo da Aula

o o o o Visão Geral da Disciplina Sistemas de Numeração Exercícios Resumo da Aula Circuitos Digitais - 574 Nardênio Almeida Martins Universidade Estadual de Maringá Departamento de Informática Bacharelado em Informática Introdução o o o o Visão Geral da Disciplina Sistemas de Numeração

Leia mais

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Notas de aula #1 SISTEMAS NUMÉRICOS

Notas de aula #1 SISTEMAS NUMÉRICOS UTFPR Disciplina: EL66J Prof. Gustavo B. Borba Notas de aula #1 SISTEMAS NUMÉRICOS - Notação posicional Definição: A posição de cada algarismo no número indica a sua magnitude. A magnitude também é chamada

Leia mais

Capítulo III Circuitos Digitais Combinacionais

Capítulo III Circuitos Digitais Combinacionais Capítulo III Circuitos Digitais Combinacionais 1 Introdução Vimos no Capítulo II que uma desejada função lógica pode ser implementada mediante a combinação de portas lógicas. Esta combinação de portas

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00

SISTEMAS DIGITAIS MEFT / MEAer de Abril de 2013, 19:00 Antes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem 4 variações distintas do teste: A,, C e D. iv. O

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais.

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais. iência da omputação ircuitos ombinacionais Parte II Prof. Sergio Ribeiro onteúdo Introdução ódigos inários ódigo Outros ódigos ódigo Excesso de ódigo Gray ódigos de bits ódigo odificadores e ecodificadores

Leia mais

Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN

Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN xercícios Lista 2 Universidade Tecnológica Federal do Paraná - UTFPR Departamento cadêmico de letrônica DLN Disciplina: L66J - ln Ind. Prof. Gustavo. orba xercícios Lista 2 Turma 43 (terça-feira de manhã).

Leia mais

Arquitetura de Computadores. Ivan Saraiva Silva

Arquitetura de Computadores. Ivan Saraiva Silva Arquitetura de Computadores Introdução Ivan Saraiva Silva Sumário Introdução Bibliografia Recomendada O que é um computador Organização de um Computador Modelo de Von Neumann IAS Máquina de Von Neuman

Leia mais

Descrição de circuitos algebricamente, álgebra de Boole e circuitos lógicos, teorema de boole e De Morgan. Simplificação e projeto.

Descrição de circuitos algebricamente, álgebra de Boole e circuitos lógicos, teorema de boole e De Morgan. Simplificação e projeto. EMENTA: Ferramentas para simulação e projeto de sistemas digitais. Equipamentos e componentes para montagem de sistemas digitais. Equipamentos para mensuração e teste na implementação de sistemas digitais.

Leia mais

3 Sistemas de Numeração:

3 Sistemas de Numeração: 3 Sistemas de Numeração: Os computadores eletrônicos têm como base para seu funcionamento a utilização de eletricidade. Diferente de outras máquinas que a presença ou ausência de eletricidade apenas significam

Leia mais

SEQUENCIADOR COM 10 LEDs

SEQUENCIADOR COM 10 LEDs SEQUENCIADOR COM 10 LEDs Este projeto cria um sequenciador de 10 linhas a partir de um oscilador formado por um CI 555. O oscilador 555 envia um pulso para a entrada de um contador de década (CI 7490),

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Circuitos Aritméticos I

Circuitos Aritméticos I Circuitos ritméticos I José Costa Introdução à rquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto uperior Técnico 3--9 José Costa (DEI/IT) Circuitos ritméticos I umário omadores

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

Conversores D/A e A/D

Conversores D/A e A/D Conversores D/A e A/D Introdução Um sinal analógico varia continuamente no tempo. Som Temperatura Pressão Um sinal digital varia discretamente no tempo. Processamento de sinais digitais Tecnologia amplamente

Leia mais

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS Ciência da Computação Sistemas de Numeração e Conversões Prof. Sergio Ribeiro Material adaptado das aulas do Prof. José Maria da UFPI Conteúdo Conversões de binário para decimal. Conversões de decimal

Leia mais

Análise de Circuitos Digitais Multiplexadores Prof. Luiz Marcelo Chiesse da Silva MULTIPLEXADORES

Análise de Circuitos Digitais Multiplexadores Prof. Luiz Marcelo Chiesse da Silva MULTIPLEXADORES nálise de Circuitos Digitais Multiplexadores Prof. Luiz Marcelo Chiesse da ilva MULTIPLEXDORE O multiplexador ou Mux é um circuito combinacional dedicado com a finalidade de selecionar, através de variáveis

Leia mais

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação A Informação e sua Representação (Parte III) Prof.a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Introdução. Aplicações de Circuitos Combinacionais. Combinacionais. Combinacionais. Combinacionais. Combinacionais. de Eletrônica Digital (Parte III)

Introdução. Aplicações de Circuitos Combinacionais. Combinacionais. Combinacionais. Combinacionais. Combinacionais. de Eletrônica Digital (Parte III) Universidade Federal de ampina Grande epartamento de istemas e omputação Introdução à omputação onceitos ásicos de Eletrônica igital (Parte III) Prof. a Joseana Macêdo Fechine joseana@dsc.ufcg.edu.br Exemplos

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr. Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Lógica Combinacional ATIVIDADES PRÁTICAS INTEGRADAS (API)

Lógica Combinacional ATIVIDADES PRÁTICAS INTEGRADAS (API) Lógica Combinacional ATIVIDADES PRÁTICAS INTEGRADAS (API) PIRASSUNUNGA 2015 FUNDAMENTAÇÃO PEDAGÓGICA As Atividades Práticas Integradas constituem um mecanismo que procura fomentar e agregar conhecimento

Leia mais

Representação de Dados

Representação de Dados Representação de Dados Propriedades Domínio - Valores que um tipo de dado pode assumir; Gama de variação - N.º de valores que um dado pode assumir; Precisão Distância entre dois valores consecutivos Operações

Leia mais

Capítulo 4 Conversores AD e DA

Capítulo 4 Conversores AD e DA Capítulo 4 Conversores AD e DA Conteúdo Processamento de quantidades digitais e analógicas Conversão Digital-Analógico DA Circuitos conversores D/A Conversão Analógico-Digital AD AD de rampa digital Aquisição

Leia mais

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO Amanda 5ª Atividade: Codificador e codificação de linha e seu uso em transmissão digital Petrópolis, RJ 2012 Codificador: Um codoficador

Leia mais

Lógica Combinacional Aula 01 Sistema de Numeração. Felipe S. L. G. Duarte Felipelageduarte+fatece@gmail.com

Lógica Combinacional Aula 01 Sistema de Numeração. Felipe S. L. G. Duarte Felipelageduarte+fatece@gmail.com Lógica Combinacional Aula 01 Sistema de Numeração Felipe S. L. G. Duarte Felipelageduarte+fatece@gmail.com Sistema de Numeração Um numeral é um símbolo ou grupo de símbolos que representa um número em

Leia mais

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte Multiplexadores e Demultiplexadores Professor Dr. Michael Klug É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

EA772 CIRCUITOS LÓGICOS

EA772 CIRCUITOS LÓGICOS EA772 CIRCUITOS LÓGICOS LISTA DE EXERCÍCIOS 1º Semestre, 2015 Minimização de funções, Cicuitos combinacionais e aplicações, Circuitos aritméticos, Codificadores, Multiplexadores. Exercício 1. a) Modifique

Leia mais

Codificação 1. Introdução. C 2 R r {! + codificação

Codificação 1. Introdução. C 2 R r {! + codificação Codificação 1. Introdução A unidade básica de memória é o digito binário (bit). Para representar diferentes em memória é necessário que o bit armazene pelo menos 2 valores. A informação pode ser armazenada

Leia mais

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa.

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Máquina Multinível Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando para o processador.

Leia mais

Componentes do Computador e. aula 3. Profa. Débora Matos

Componentes do Computador e. aula 3. Profa. Débora Matos Componentes do Computador e modelo de Von Neumann aula 3 Profa. Débora Matos O que difere nos componentes que constituem um computador? Princípios básicos Cada computador tem um conjunto de operações e

Leia mais

Trabalho compilado da Internet Prof. Claudio Passos. Sistemas Numéricos

Trabalho compilado da Internet Prof. Claudio Passos. Sistemas Numéricos Trabalho compilado da Internet Prof. Claudio Passos Sistemas Numéricos A Informação e sua Representação O computador, sendo um equipamento eletrônico, armazena e movimenta as informações internamente sob

Leia mais

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Representação de grandeza com sinal O bit mais significativo representa o sinal: 0 (indica um número

Leia mais

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação PROGRAMA DA DISCIPLINA 1 2 Curso: Engenharia Elétrica Código: 20 3 4 Modalidade(s): Bacharelado Currículo(s): 2005/1 5 Turno(s):

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015 Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 7 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:, B, C e D. iv. O teste

Leia mais

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 8 Multiplexadores e Demultiplexadores Prof.: Michael É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante, para transferi-lo

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos.

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos. Objetivos 2. Sistemas de Numeração, Operações e Códigos Revisar o sistema de numeração decimal Contar no sistema de numeração binário Converter de decimal para binário e vice-versa Aplicar operações aritméticas

Leia mais

Capítulo DOIS Sistemas de numeração em computação

Capítulo DOIS Sistemas de numeração em computação Capítulo DOIS Sistemas de numeração em computação 2. Introdução Em todas as fórmulas usadas a seguir, B representa a base do sistema de numeração, n representa a quantidade de dígitos disponíveis para

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Parte # 1 - Circuitos Combinatórios

Parte # 1 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 1 - Circuitos Combinatórios Prof. Alessandro Jacoud Peixoto 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de

Leia mais

Álgebra de Boole. Sistema de Numeração e Códigos. Prof. Ubiratan Ramos

Álgebra de Boole. Sistema de Numeração e Códigos. Prof. Ubiratan Ramos Álgebra de Boole Sistema de Numeração e Códigos Prof. Ubiratan Ramos Sistemas Numéricos Regras para formação: símbolos e posição Por que base 10? Potência de 10 (raiz ou base 10) Representação na Forma

Leia mais

Lista de Exercícios Sistemas de Numeração

Lista de Exercícios Sistemas de Numeração Lista de Exercícios Sistemas de Numeração 1- (Questão 5 BNDES Profissional Básico Análise de Sistemas - Suporte ano 010) Um administrador de sistemas, ao analisar o conteúdo de um arquivo binário, percebeu

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13 Índice 1. Circuitos Digitais - Continuação...3 1.1. Por que Binário?... 3 1.2. Conversão entre Bases... 3 2 1. CIRCUITOS DIGITAIS - CONTINUAÇÃO 1.1. POR QUE BINÁRIO?

Leia mais

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs)

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) João Paulo Baptista de Carvalho joao.carvalho@inesc-id.pt Circuitos Aritméticos Circuitos aritméticos são aqueles que realizam

Leia mais

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:

Leia mais

EA075 Conversão A/D e D/A

EA075 Conversão A/D e D/A EA075 Conversão A/D e D/A Faculdade de Engenharia Elétrica e de Computação (FEEC) Universidade Estadual de Campinas (UNICAMP) Prof. Levy Boccato 1 Introdução Sinal digital: possui um valor especificado

Leia mais

Organização de Computadores. Cálculos Binários e Conversão entre Bases Aritmética Binária

Organização de Computadores. Cálculos Binários e Conversão entre Bases Aritmética Binária Organização de Computadores Capítulo 4 Cálculos Binários e Conversão entre Bases Aritmética Binária Material de apoio 2 Esclarecimentos Esse material é de apoio para as aulas da disciplina e não substitui

Leia mais

Símbolos Lógicos com Tabelas-Verdade

Símbolos Lógicos com Tabelas-Verdade Slide 1 Símbolos Lógicos com Tabelas-Verdade PORTAS INVERSOR A NEG OR 6.071 Lógica Digital 1 A lógica digital pode ser descrita em termos de símbolos lógicos padrão e suas tabelas-verdade correspondentes.

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Hardware de Computadores

Hardware de Computadores Sistema Binário Hardware de Computadores O sistema binário é um sistema de numeração posicional em que todas as quantidades são representadas, utilizando-se como base as cifras: zero e um (0 e 1). Os computadores

Leia mais

Conversão de Bases e Aritmética Binária

Conversão de Bases e Aritmética Binária Conversão de Bases e Aritmética Binária Prof. Glauco Amorim Sistema de Numeração Decimal Dígitos Decimais: 0 2 3 4 5 6 7 8 9 Potências de base 0 0 0 2 0 0 3 4 0 0 00 000 0 000 Sistema de Numeração Binário

Leia mais

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária 1- Apresentação Binária Os computadores funcionam e armazenam dados mediante a utilização de chaves eletrônicas que são LIGADAS ou DESLIGADAS. Os computadores só entendem e utilizam dados existentes neste

Leia mais

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES

PUC Rio. Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) ORGANIZAÇÃO DE COMPUTADORES PUC Rio Curso Superior de Tecnologia em Processamento de Dados (TPD/P15) Atualizado em ORGANIZAÇÃO DE COMPUTADORES Prof. Rui Mano E mail Internet: rmano@tpd.puc rio.br CONCEITOS DE LÓGICA DIGITAL CIRCUITOS

Leia mais

Sistemas de Numeração

Sistemas de Numeração Universidade Tecnológica Federal do Paraná Bacharelado em Ciência da Computação IC3A Introdução à Ciência da Computação Sistemas de Numeração Marcos Silvano O. Almeida Baseado no material do prof. Rogério

Leia mais

Circuito combinacional

Circuito combinacional Circuito combinacional É todo circuito cuja saída depende única e exclusivamente das várias combinações das variáveis de entrada. Estudando os circuitos combinacionais podemos entender o funcionamento

Leia mais

Sistemas de numeração

Sistemas de numeração E Sistemas de numeração Aqui estão apenas números ratificados. William Shakespeare A natureza tem algum tipo de sistema de coordenadas geométrico-aritmético, porque a natureza tem todos os tipos de modelos.

Leia mais

EE610 Eletrônica Digital I. 2_b_2 Chaves em circuitos lógicos

EE610 Eletrônica Digital I. 2_b_2 Chaves em circuitos lógicos EE610 Eletrônica Digital I Prof. Fabiano Fruett Email: fabiano@dsif.fee.unicamp.br 2_b_2 Chaves em circuitos lógicos 2. Semestre de 2007 Portas de Transmissão 1 Chaves analógicas Chaves de circuitos e

Leia mais

Teste 1 Sistemas Digitais - MEEC 2011/12 1

Teste 1 Sistemas Digitais - MEEC 2011/12 1 Teste Sistemas Digitais - MEEC /. [ val] Converta para base o número 7. Utilize o resultado obtido para converter o número para base 6. Justifique. Teste Sistemas Digitais - MEEC /. [ val] Considere a

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais