TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES DA UFF) CIRCUITOS DIGITAIS (CURSO DE BACHARELADO EM INFORMÁTICA DA UFF)

Tamanho: px
Começar a partir da página:

Download "TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES DA UFF) CIRCUITOS DIGITAIS (CURSO DE BACHARELADO EM INFORMÁTICA DA UFF)"

Transcrição

1 UNIVERSIDADE FEDERAL FLUMINENSE CENTRO TECNOLÓGICO - ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES APOSTILA PARA DISCIPLINAS DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES DA UFF) & CIRCUITOS DIGITAIS (CURSO DE BACHARELADO EM INFORMÁTICA DA UFF) 2º PARTE (Versão 0) Prof ª Carmen Maria Costa de Carvalho Agosto,2002

2 Parte 2 Circuitos Combinacionais 1-Sistemas Digitais 1.1- Histórico - Circuito Integrado 1.2- Escala de Integração 1.3- Famílias lógicas - Principais características das famílias lógicas 1.4- Encapsulamento 2- Circuitos Combinacionais Básicos 2.1- Padrão de Circuitos Combinacionais 2.2- Somadores e Subtratores Meio somador (Half-Adder) Somador Inteiro (Full-Adder) Somador Inteiro a partir de Meio somador Somador de 4 bits Complementador a Subtrator de 4 bits em C Subtrator de 4 bits em C ULA Unidade Lógica e Aritmética 2.3- Circuitos Conversores de Código Apresentação de alguns códigos Exemplo de Conversores de código 2.4- Codificadores / Decodificadores Decodificadores Codificadores Conversor de Código a partir de Decodificador/Codificador 2.5- Multiplexadores / Demultiplexadores Multiplexadores Mux como Seletor de Canal Mux como Gerador de Função Demultiplexadores

3 1-Sistemas Digitais 1.1- Histórico - Circuito Integrado Em um Sistema Digital um componente eletrônico sozinho faz muito pouco. São necessários milhares, centenas de milhares, milhões, para compor as portas e as funções lógicas mais complexas desse sistema. Sendo assim, a velocidade do sistema como um todo, depende da velocidade de operação das portas. - Diferentemente dos sistemas analógicos, nos digitais, o valor real da corrente não é o que importa. Os dispositivos eletrônicos do sistema devem conduzir uma quantidade substancial de corrente ou muito pouca ou nenhuma corrente. Histórico: Dos componentes eletrônicos aos Sistemas Digitais Até 1955 os sistemas eram baseados em diodos semicondutores e válvulas a vácuo, apresentando as seguintes características: - diodos: relativamente pequenos (milímetros), dissipando relativamente pouca potência; - válvulas: grandes (vários centímetros), dissipando grandes potências (W). A maioria dos componentes compunha portas a base de diodos e resistores, mas também eram necessárias válvulas em grandes quantidades. - Conseqüência sistemas digitais enormes, caros, consumindo muita potência. Por volta de 1955 foi inventado o transistor que veio substituir as válvulas. - Vantagem - muito menos potência (mw), dimensões da ordem de poucos centímetros. Até 1965 os semicondutores eram encapsulados individualmente. - Fabricados através da aplicação repetida de certos processos físicos e químicos à superfície de uma pastilha de silício extremamente puro. - Dispositivo na superfície do silício da ordem de mícrons

4 - A maior parte da dimensão de um dispositivo encapsulado individualmente envolve e próprio encapsulamento e o suporte mecânico para as conexões elétricas. Por volta de 1965 iniciou-se uma série de progressos tecnológicos que levaram à fabricação do circuito integrado (CI). Em um CI, muitos transistores e diodos são fabricados, isto é, integrados, sobre a mesma pastilha de silício; na mesma estrutura são também integrados resistores e até mesmo as interligações para fabricar uma porta completa, muitas portas e até mesmo um sistema digital completo. No início da década de 80 os chips já atingem o ponto de se obter um circuito que coubesse na palma da mão, dissipando em torno de 1W e superando sistemas existentes há 30 anos que envolviam uma sala cheia de equipamento e consumiam milhares de watts. Nos dias de hoje, os C.I. s tornaram-se indispensáveis, pois com sua utilização os sistemas tiveram seus custos extremamente reduzidos e bem mais confiáveis Escala de Integração De acordo com a quantidade de portas ou componentes encapsulados em um mesmo chip os C.I. s comercialmente disponíveis são classificados em: - SSI small scale integration (baixa escala de integração) - MSI medium scale integration (integração em média escala) - LSI large scale integration (integração em larga escala) - VLSI very large scale integration (integração em muita larga escala) - ULSI ultra large scale integration (integração em ultra larga escala) A convenção adotada para a composição de cada uma dessas escalas é a seguinte: - SSI até 12 portas ou até 99 componentes. - MSI de 13 a 99 portas ou de 100 a 9999 componentes. - LSI de 100 a 9999 portas ou de a componentes.

5 - VLSI de a portas ou de a componentes. - ULSI acima de portas 1.3- Famílias lógicas - Principais características das famílias lógicas Existe um número de famílias de C.I. que se distinguem umas das outras pelo tipo de dispositivo semicondutor que incorporam e pela maneira como os dispositivos semicondutores (e resistores, quando usados) são interligados para formar portas. Dentre as famílias, podemos citar: DTL (diode-transistor logic) RTL (resistor transistor logic) RCTL (resistor-capacitor transistor logic) HTL (high-thershold logic) TTL (transistor-transistor logic) ECL (emitter-compled logic) MOS logic (metal oxide semicondutor logic) C MOS (complementary MOS) Algumas das famílias acima citadas já estão obsoletas. As mais comumente encontradas são TTL, CMOS e ECL. OBS: A família encontrada no laboratório de Eletrônica do Departamento de Telecomunicações é a TTL. Principais características das famílias lógicas Uma família lógica é caracterizada por vários parâmetros, dos quais quatro se destacam: a) atraso de propagação e tempo de comutação b) dissipação de potência c) capacidade de saída

6 d) margens de ruído a) Atraso de propagação e tempo de comutação Atraso de propagação é o tempo requerido pela saída de uma porta para responder a uma mudança no nível lógico da entrada da porta (microssegundos e nanosegundos). Tempo de comutação é o tempo que uma porta leva para passar do estado 1 para o estado 0 ou vice-versa. b) Dissipação de potência Geralmente é possível melhorar a velocidade de operação (isto é, reduzir os tempos de a), sacrificando a potência. Como mais potência envolve mais correntes, as capacitâncias parasitas podem ser carregadas e descarregadas mais rapidamente. Estas capacitâncias parasitas não são introduzidas deliberadamente no circuito, mas são o resultado inevitável das dimensões e geometria do circuito. A disponibilidade de correntes maiores torna possível ligar e desligar as transistores mais rapidamente. Resta saber se o aumento de viabilidade compensa o sacrifício de potência. Produto velocidade X potência produto do atraso de propagação pela dissipação de potência de uma porta. c) Capacidade de saída Fan-in é o número total de entradas da porta lógica Fan-out é o número que expressa a quantidade máxima de portas da mesma família que poderá ser conectada à saída de uma porta lógica. Uma fonte de um sinal digital aplicado à entrada de uma porta deve ser capaz de estabelecer naquela entrada uma ou outra tensão correspondente a um ou outro nível lógico.

7 Em qualquer um dos níveis a fonte deve satisfazer os requisitos de corrente da porta acionada. Como a saída de uma porta freqüentemente é usada como fonte para a entrada de outra porta é necessário conhecer o FAN-OUT desta porta. Portas lógicas apresentam uma impedância de saída. Ao conectar outras portas a esta, iremos diminuir cada vez mais a impedância de carga do bloco e, por conseguinte, drenaremos uma maior corrente do circuito, alterando assim mais características de limites de tensão de saída. d) Margem de ruído Níveis lógicos níveis de tensão Os níveis 1 e 0 não correspondem a 1V e 0V e sim a faixas de tensão específicas para cada família. O nível zero corresponde a uma faixa de tensão pequena, abaixo de um certo valor máximo. O nível um corresponde a uma faixa de tensão acima de um valor mínimo e abaixo de um valor máximo. Dentro deste conceito, os fabricantes especificam as seguintes tensões (de entrada e de saída): V OH tensão de saída mínima que uma porta fornece quando na saída estiver em nível lógico 1. V OL tensão de saída máxima que uma porta fornece quando na saída estiver um nível lógico 0. V IH tensão mínima que pode ser aplicada à entrada de uma porta e reconhecida como nível 1. V IL tensão máxima que pode ser aplicada à entrada de uma porta e reconhecida como nível 0.

8 1.4 - Encapsulamento Os C.I. consistem fisicamente de uma pastilha (chip) sobre a qual os elementos lógicos reais são localizados, encapsulado num pacote com somente os pinos de conexão (leads) extendendo-se através da embalagem. Até uma determinada época, os C.I. eram encapsulados de um dos 2 modos abaixo: - flat pack - dual in lim package - DIP Flat pack é o mais compacto e é destinado a ser permanentemente soldado no circuito impresso, geralmente de cerâmica. DIP é mais resistente e pode ser encaixado em um soquete.

9 Hoje existem outras formas de encapsulamento. Este é um assunto para pesquisa dos alunos.

10 2- Circuitos Combinacionais Básicos 2.1- Padrão de Circuitos Combinacionais Qualquer circuito combinacional pode ser construído a partir dos seguintes passos: identificação do problema (entradas e saídas) construção da tabela verdade obtenção da função (preferencialmente de forma minimizada) representação por diagrama de portas Exemplo de padrão de circuitos combinacionais Ex: Construa um circuito capaz de produzir um nível alto na saída S, sempre que for aplicado à uma entrada uma combinação de bits com 2 bits adjacentes iguais a zero (entrada de 4 bits). identificação do problema (entradas e saídas) Entradas A B C D S (saída) construção da tabela verdade A B C D S obtenção da função simplificada S = A B + C D + B C Apenas com portas NOR: S = A B + C D + B C = A+B + C+D + B+C S = A+B + C+D + B+C

11 representação por diagrama de portas O diagrama de portas da função simplificada é obtido com 3 ANDs, 4 Inversores e 1 OR. Abaixo está desenhada a opção que monta o circuito usando apenas portas nor Somadores e Subtratores Os circuitos de somadores são bastante genéricos, podendo-se a partir deles obter a construção de subtratores e também multiplicadores Meio somador (Half-Adder) O primeiro circuito aritmético mais básico é o do meio somador (H.A.), pelo fato de poder realizar apenas a soma de dois bits. Neste caso, numa operação como a abaixo, o Meio Somador é o circuito que pode realizar apenas a soma de A 0 com B 0. C 2 C 1 C 0 A =...A 3 A 2 A 1 A 0 + B =...B 3 B 2 B 1 B 0 C 3 S 3 S 2 S 1 S 0 Partindo do padrão de circuitos combinacionais, os passos para a geração do Meio Somador são os seguintes:

12 identificação do problema construção da tabela verdade A 0 B 0 H.A. S 0 C 0 (carry) A 0 B 0 S 0 C obtenção da função S 0 = A 0 B 0 + A 0 B 0 = A 0 B 0 C 0 = A 0 B 0 representação por diagrama de portas Outra forma possível de representação por portas:

13 Somador Inteiro (Full-Adder) Este circuito já é mais genérico, podendo realizar a soma de três bits quaisquer. C n-1 A n B n C n S n Seguindo todos os passos do padrão de circuitos combinacionais, tem-se: C n-1 A n B n A n B n C n-1 S n C n F.A. Geração de S n S n C n AnBn Cn S n = A n B n C n-1 + A n B n C n-1 + A n B n C n-1 + A n B n C n-1 S n = A n (B n C n-1 + B n C n-1 ) + A n (B n C n-1 + B n C n-1 ) S n = A n (B n C n-1 ) + A n (B n C n-1 ) S n = A n (B n C n-1 ) S n = A n B n C n-1 OU EXCLUSIVO sempre gera a soma das variáveis

14 OBS: Toda vez que no Mapa de Karnaugh todas e somente as mesmas posições do Mapa, de nº ímpar de variáveis verdadeiras estiverem assinaladas tem-se uma EX-OR de todas as variáveis. Quando estiverem assinaladas todas as posições de nº par de variáveis verdadeiras tem-se uma EX-NOR de todas as variáveis. AB AB AB AB C 1 1 C 1 1 ABC + ABC + ABC + ABC EX-OR AB AB AB AB C 1 1 C 1 1 ABC + ABC + ABC + ABC EX-NOR Geração de Cn: AnBn Cn C n = A n B n + A n C n-1 + B n C n-1 An Bn Cn-1 Sn Cn U1A U1B

15 Somador Inteiro a partir de Meio somador Pelo circuito acima, verifica-se que ele é obtido através de 2 H.A. e mais algumas portas. Assim: A n B n A n B n Entretanto, observando mais detalhadamente, percebe-se que para se ter estouro (carry), pelo menos duas variáveis precisam ser 1 e isto acontece quando An e Bn são 1 ou quando o carry de entrada e o resultado da soma de An e Bn também são 1. Logo: C n = (A n B n ) C n-1 + A n B n A n B n C n-1 A n B n (A n B n ) C n-1 C n E o circuito do F.A. pode ser construído da forma mais reduzida abaixo.

16 A n B n A n B n (A n B n ) C n Somador de 4 bits Utilizando blocos somadores inteiros, um somador para 2 n os corresponde a: binários de 4 bits, C 2 C 1 C 0 A 3 A 2 A 1 A 0 + B 3 B 2 B 1 B 0 C 3 S 3 S 2 S 1 S 0 A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 C 3 F.A. C 2 F.A. C 1 F.A. C 0 F.A. S 3 S 2 Este somador de 4 bits é encontrado em S 1 A B S 0 forma de CI, de número S

17 Se forem utilizados 2 CI s 7483, pode-se obter um somador para 2 n os binários de 8 bits cada um. B 7 B 6 B 5 B 4 A 7 A 6 A 5 A 4 B 3 B 2 B 1 B 0 A 3 A 2 A 1 A 0 S S 7 S 6 S 5 S 4 S 3 S 2 S 1 S Complementador a 2 De acordo com a análise feita na Parte 1 da Apostila, item 2.2, a subtração pode ser gerada a partir da soma do minuendo com o complemento do subtraendo. Para tal, já que o somador já está definido, resta gerar o circuito que realiza o complemento. Se a subtração for realizada com a técnica de complemento a 2, o circuito a ser gerado é o do Complementador a 2 que é um circuito combinacional e, como tal, pode ser construído da forma padrão abaixo: B 3 B 2 B 1 B 0 Complementador a 2 C 3 C 2 C 1 C 0

18 B 3 B 2 B 1 B 0 C 3 C 2 C 1 C B 3 B 2 B 1 B C 3 = B 3 B 2 + B 3 B 0 + B 3 B 1 + B 3 B 2 B 1 B 0 C 3 = B 3 (B 0 + B 1 + B 2 ) + B 3 (B 0 + B 1 + B 2 ) C 3 = B 3 (B 0 + B 1 + B 2 ) B 3 B 2 B 1 B C = B 2 B 1 B 0 + B 2 B 0 + B 2 B C 2 = B 2 (B 1 + B 0 ) + B 2 (B 1 + B 0 ) C 2 = B 2 (B 1 + B 0 ) B 3 B 2 B 1 B C 1 = B 1 B 0 + B 1 B C 1 = B 1 B B 3 B 2 B 1 B C 0 = B 0 Nem precisava ter feito, era só olhar para a tabela.

19 C 3 = B 3 (B 0 + B 1 + B 2 ) C 2 = B 2 (B 0 + B 1 ) C 1 = B 1 B 0 C 0 = B 0 B 3 B 3 (B 0 + B 1 + B 2 ) C 3 B 2 B 1 B 0 + B 1 + B 2 B 2 (B 0 + B 1 ) C 2 B 0 + B 1 B 0 B 1 B 0 C 1 C 0 Outro modo possível de viabilizar o complemento a 2 é aplicando a regra prática de complemento a 2. B 3 B 2 B 1 B 0 C 0 = B 0 C 3 C 2 C 1 C 0 C 1 = B 1 B 0 + B 1 B 0 Se B 0 for 1, C 1 = B 1 e se B 0 = 0 C 1 = B 1 C 1 = B 1 B 0 C 2 = B 2 B 1 B 0 + B 2 B 0 + B 2 B 1 Se B 0 = B 1 = 0 então C 2 = B 2 Se B 0 = 1, C 2 vai ser igual a B 2 Se B 1 = 1, C 2 vai ser igual a B 2

20 C 3 = B 3 B 2 + B 3 B 0 + B 3 B 1 + B 3 B 2 B 1 B 0 Solução para o complemento de um número de n bits: C n = B n (B n-1 + B n B 1 + B 0 ) Uma terceira solução é utilizando o postulado matemático que diz: C b = C b Logo: C 2 = C C 2 = inversor e soma com Subtrator de 4 bits em C2 Considerando Minuendo Subtraendo Conforme já visto anteriormente, sempre vai ocorrer estouro quando for feita a soma do minuendo com o complemento a 2 do subtraendo, estouro este que poderá ser desprezado para representação do resultado da subtração. Ex: 5 3 = 5 + C 2 3 = R M - S = M + C 2 S=R

21 Circuito este que obviamente pode ser simplificado para: Centro Tecnológico

22 Considerando Minuendo < Subtraendo Neste caso, jamais vai haver estouro e o resultado da soma do minuendo com o complemento a 2 do subtraendo deve passar por novo complemento, se o objetivo for o de se obter a real magnitude da subtração. (OBS: Em uma operação de subtração realizada internamente no computador, o resultado é apresentado em SMC2, logo se o resultado é negativo, ele vai permanecer complementado a 2). * A figura abaixo tem um erro. Qual será? Considerando o caso geral Observa-se que a diferença entre os circuitos acima, que tratam separadamente das subtrações para M > S e M S, está na necessidade de efetuar o segundo complemento a 2 ou não. Esta situação é identificada a partir do fato de ter ou não ocorrido estouro.um circuito combinacional que atende a esta idéia de efetuar o complemento a 2 ou não a partir de um determinado comando é o circuito True / Complement, onde a saída corresponde à própria entrada ou ao complemento a 2 da mesma.

23 Aplicando a proposta do circuito True / Complement para o caso do subtrator genérico de 4 bits, chega-se ao seguinte circuito:

24 Ampliando esta idéia, pode-se construir o circuito de um somador / subtrator genérico, para 4 bits, operando em C2, com uma variável de controle para selecionar qual a operação que se deseja realizar. Y = 5º bit da soma ou sinal da subtração Pequenas lógicas internas: C C 4 Cx Y Cx = C. C 4 (Controle do segundo True / Complement) Y = C C 4

25 Subtrator de 4 bits em C1 Seguindo a mesma linha de raciocínio adotada para a construção das diversas etapas do subtrator em C2 e analisando a teoria de subtração com a técnica em C1, os diversos circuitos de subtrator de 4 bits em C1 e somador / subtrator em C1 são os abaixo apresentados. Subtrator binário para 2 números de 4 bits, operando em C1 e considerando M > S. (sempre vai ocorrer estouro, que deverá ser somado ao resultado) Subtrator acima, considerando M S * O Circuito abaixo tem um erro. Qual será?

26 Subtrator geral de 4 bits em C1 Somador / subtrator genérico em C 1.

27 ULA Unidade Lógica e Aritmética A ULA é um circuito lógico inteiramente combinacional (isto é, consiste em portas sem realimentação e sem flip-flops) Ela torna disponível em um único chip a realização de diferentes operações lógicas e aritméticas, como soma, subtração, incremento, ou, e, ou-exclusivo, etc... A operação de uma ULA típica (de 4 bits) é a seguinte: A 0, A 1, A 2, A 3 e B 0, B 1, B 2, B 3 -> entradas C n -> carry de entrada (indica vai-um no nível 0) M -> função lógica (1) ou aritmética (0) F 0, F 1, F 2, F 3 -> saídas C n+4 -> carry de saída (indica se vai-um no nível 0) S 0, S 1, S 2, S 3 -> seleção de função Quando a unidade é utilizada para soma e a velocidade é importante, pode-se ligar na unidade (ULA) a VUA (vai-um antecipado), normalmente aplicada em computadores de grande porte. Esta adaptação com a VUA visa reduzir a séria limitação de velocidade que resulta no fato de o vai-um ter que se propagar, em seqüência, através de um somador após o outro. Para aumentar a velocidade dos somadores, aplica-se o princípio do vai-um antecipado. Neste método, antecipa-se quando e onde um vai-um seria gerado e circuitos adicionais são usados para gerar o vai-um mais diretamente do que através da propagação do vai-um vindo de estágios anteriores Circuitos Conversores de Código Freqüentemente, informação disponível em forma codificada deve ser traduzida para um código diferente. O circuito lógico que realiza esta tradução é chamado de conversor de código.

28 Apresentação de alguns códigos De modo geral, os códigos podem ser classificados em: Ponderados -> Peso Ordenados Códigos Ponderados: a) Binário Natural ou código 8421 B 3 B 2 B 1 B é a contagem natural em binário OBS: Existe a possibilidade de fazer a representação da um dígito decimal usando sempre 4 bits. Nesse caso, o código gerado é um caso específico do binário natural, já que com 4 bits não é possível representar os correspondentes decimais de 10 a 15, nem qualquer outro acima destes. Este código é chamado BCD ou NBCD. BCD Decimal Codificado em Binário

29 BCD em 8421 ou NBCD Igual ao binário natural b) Outros códigos ponderados: 7421, 2421, 5211, etc... - o código 8421 é o único que não apresenta multiplicidade de solução para Ex: um mesmo número Códigos Ordenados: c) Códigos Gray - a principal característica é que de um nº a outro (anterior ou posterior) apenas varia um bit. No código Gray, os 2 primeiros correspondentes decimais a zero e um são representados normalmente. Os dois n os seguintes, são expressos do seguinte

30 modo: um espelho representado por uma linha tracejada é colocada debaixo dos primeiros 2 bits, gerando uma reflexão destes. Depois o dígito 0 é acrescentado acima do espelho e o dígito 1 é acrescentado abaixo do espelho e assim sucessivamente. Gray Natural Gray BCD Mapa de Karnaugh para Gray Natural O código Gray é o código utilizado para construção do Mapa de Karnaugh e é por este motivo que quando a numeração dos quadrículos no Mapa, é feita através do código binário, ela não segue uma seqüência correta. Já, se a numeração fosse realizada utilizando o código Gray, os quadrículos seriam numerados seqüencialmente, conforme pode ser observado abaixo:

31 d) Código excesso 3 Ex-3 NBCD - é a transformação do nº decimal no binário correspondente, somando-se a este três unidades. N B C D Ex-3 NBCD É interessante por 2 motivos: - Porque não aparece 0000 e 1111, que podem ser confundidos com linha aberta, ou curto-circuito. - é auto-complementar a 9.

32 Exemplo de Conversores de código Ex: 1) Projete um conversor de NBCD -> Gray BCD NBCD Gray BCD B 3 B 2 B 1 B 0 G 3 G 2 G 1 G irrelevantes G 3 = B 3 G 2 = B 3 + B 2 G 1 = B 2 B 1 G 0 = B 1 B 0 G X 1 01 X 1 11 X X 10 X X G X 01 1 X 11 1 X X 10 1 X X G X X X X 10 1 X X G X X 1 11 X X X X 2) Projetar um conversor de Gray BCD para NBCD Gray BCD NBCD G 3 G 2 G 1 G 0 B 3 B 2 B 1 B ou B 3 = G 3 B 2 = G 3 G 2 B X 01 1 X 11 1 X X 10 1 X X B 2 = G 3 G 2 -> sem usar o irrelevante

33 B X 01 1 X 11 1 X X 10 1 X X B 1 = G 2 G 1 + G 3 G 2 G 1 B 1 = G 3 G 2 G 1 + G 3 G 2 G 1 = G 3 (G 2 G 1 ) Sem usar o irrelevante Os irrelevantes agora são a continuação da tabela GRAY B X X 11 1 X X 10 1 X X B 0 = G 3 G 2 G 1 G 0 (todas as posições com nº ímpar de variáveis ) 3) Projetar um conversor GRAY NBCD selecionável. Arbitrar que C = 0 -> converte de GRAY para BCD C = 1 -> converte de BCD para GRAY C Y 3 Y 2 Y 1 Y 0 X 3 X 2 X 1 X 0 B 3 = G 3 X 3 = Y 3 B X 01 1 X 11 1 X X 10 1 X X B X 01 1 X 11 1 X X 10 1 X X B X X 11 1 X X 10 1 X X G X X X X 10 1 X X G X 01 1 X 11 1 X X 10 1 X X G X X 1 11 X X X X

34 X 2 = Y 3 Y 2 + Y 3 Y 2 X 1 = Y 3 Y 2 Y 1 + Y 3 Y 2 Y 1 X 2 = Y 2 Y 3 X 1 = Y 3 (Y 1 Y 2 ) B 0 = G 3 G 2 G 1 G 0 G 0 = B 1 B 0 X 0 = (Y 1 Y 0 )C + (Y 3 Y 2 Y 1 Y 0 )C X 0 = Y 1 Y 0 (Y 3 Y 2 )C Y 3 X 3 Y 2 X 2 Y 1 X 1 Y 0 X 0 C Outra solução mais simples para X 0 : C Y 3 Y 2 Y 1 Y 0 X 0

35 4) Conversor BCD para 7 segmentos Utilização do Decoder/Driver 9368 e do Display de 7 segmentos FND 500 FND 560 Display de 7 segmentos: F A G B E D C - Geralmente cada filamento é um LED. Só conduz quando polarizado diretamente Conversor BCD/7 segmentos Cada segmento do display O projeto do conversor BCD para 7 segmentos é objeto de exercício para o aluno.

36 2.4- Codificadores / Decodificadores São circuitos que efetuam a passagem de um determinado código para outro assim como os conversores de código, porém eles possuem características específicas Decodificadores Os decodificadores constituem um tipo de conversor de código que apresentam a característica de que para cada entrada, somente uma saída é ativada. Isto significa que uma das saídas sempre será diferenciada das outras. (Ex.: uma saída estará em nível lógico 1 e as outras estarão em 0, ou vice-versa). O decodificador é composto apenas por portas AND, se cada saída for ativada através de nível lógico 1. Decodificador 1 alto de N (endereçados) Este circuito possui N saídas, das quais uma (a selecionada) sempre em 1 (nível lógico alto) e as demais em 0 (nível lógico baixo). Ex.: 1 alto de 2 C X 1 X x x 1 0 = C = C Ex.: 1 alto de 4 C 1 C 0 X 3 X 2 X 1 X Para facilitar, o endereçamento é feito para a variável de número igual ao valor de controle.

37 Ex.: C 1 C 0 10 (=2) endereça a saída X 2. Decoder 7442 trabalha de forma análoga porém inversa. Trata-se de um decodificador 1 baixo de 10, a saída é endereçada através de um zero. c c x c c x c c x c c x = = = =

38 Codificadores Os codificadores executam a função inversa em relação aos decodificadores; isto é, operam com códigos de entrada que têm a característica de, em qualquer momento, apresentar uma entrada com nível lógico diferente de todas as demais. Muitas vezes as entradas de um codificador são as saídas de um decodificador. Ex.: (arbitrariamente) I 0 I 1 I 2 A 3 A 2 A 1 A Considerando que o código de entrada acima, só tem cada variável verdadeira em uma única situação e todas as demais combinações em que esta variável pudesse ser verdadeira são irrelevantes (já que não existem), as funções de saída poderão ser constituídas apenas por portas OU, como apresentado abaixo: A 3 c = I 0 c + I 2 C A 2 c = I 0 c + I 1 c A 1 c = I 2 c A 0 c = I 0 c + I 1 c + I 2 c Conversor de Código a partir de Decodificador/Codificador Uma outra maneira de se gerar um conversor de código, sem seguir os passos de um circuito combinacional padrão, é fazendo uma associação de decodificador com codificador. Neste caso, em uma conversão de um código A para um outro código B, escolhe-se um código intermediário C, onde apenas uma variável seja diferenciada das demais, a cada instante, que fará o papel de decodificador, de A para C e de codificador de C para B. Se o código C escolhido tiver apenas uma variável verdadeira, a cada instante, o circuito será constituído de um conjunto de and s (decodificador) associado a um conjunto de or s (codificador).

39 2.5- Multiplexadores / Demultiplexadores Multiplexadores Os multiplexadores são circuitos que podem ser aplicados de duas maneiras distintas: Como seletores de canal Como geradores de função Mux como Seletor de Canal O multiplexador, como seletor de canal, é utilizado para selecionar dentre várias entradas uma para ser ligada à saída. Uma chave de n posições controlável que permite a passagem de somente uma entrada para a saída representa bem a função do multiplexador: (Ex.: seletor de canal de televisões antigas). I... I 8 SELEÇÃO Estrutura lógica de um MUX de 2 canais por 1 linha: Ch 0 X=A 0 1 X=A 1 A1 A0 Ch X A 1 A Ch X = A. Ch + A. 0 1 Ch

40 Estrutura lógica de um MUX 4 canais por 1 linha. 4 entradas requerem 2 bits para selecionar Estrutura lógica de um MUX 4 canais por 2 linhas MUX 4 x 2 (utilizado para um endereçamento de palavras com n bits no caso a seguir, n=2, palavra esta situada em um único endereço). S 1 S B 0 A0 0 1 B 1 A B 2 A B 3 A 3

41 Estrutura lógica de um MUX 16 canais por 1 linha (a partir de MUX s 4x1) Canais 0 a 3 Canais 4 a 7 Servirão como entradas de seleção mais significativas (S 3 S 2 ) 678 Canais 8 a 11 Y= A 0 S 3 S 2 S 1 S 0 = 0000 Y= X 2 S 3 S 2 S 1 S 0 = 1000 (canal 8) Canais 12 a 15

42 MUX 4x1 (74153 do laboratório) (efetivamente sua construção é de um MUX 4x2) 1 G e 2 G terminais de controle ENABLE (habilita). Ativas quando em baixa. Permite saída tri-state.

43 Mux como Gerador de Função O Multiplexador pode ser usado não só para selecionar uma linha dentre um número de linhas, mas também para gerar uma função lógica arbitrária de variáveis selecionáveis. Ou seja, pode ser utilizado para montar qualquer circuito combinacional. Para isto, basta gerar a tabela verdade do circuito que se deseja. Voltando ao MUX original 4x1 G 0 G 1 G 2 G 3 Supondo que não existissem as linhas de entrada I 0 I 3. neste caso as linhas de entrada de seleção das portas AND seriam exatamente as necessárias para gerar todos os mintermos das variáveis S 1 S 0. A porta G 0 gera m 0 ( S1. S 0), a porta G 1 gera m 1 ( S1. S 0 ), etc. Pensando agora nas entradas I 0,..., I 3 como entradas habilitadoras. Se I 0 =0 a saída de G 0 será 0 independente de S 0 e S 1, no entanto se I 0 =1, a saída G 0 será igual a S1. S0 e assim sucessivamente. Assim, as quatro portas AND habilitadas pelas entradas correspondentes I 0,..., I 3 geram os quatro mintermos das variáveis de seleção e a saída lógica é a soma lógica dos mintermos selecionados.

44 Ex: Gerar com um MUX 8x1, a função W = B. C. + A. B. C + A. B. C W = B. C.( A + A) + A. B. C + A. B. C W = AB. C. + ABC + A. B. C + A. B. C { { { { m7 m3 m2 m4 Com um MUX 8x1 (formado a partir de 4x1 s) W =

45 Pensando nas variáveis B e C como entradas de seleção e A como uma variável livre, é possível gerar a mesma função W a partir de um MUX 4 x 1.

46 Demultiplexadores O DEMUX proporciona o direcionamento de um dado de entrada para a entre n linhas de saída. Demux 1x4 Situação que serve como exemplo: 5 linhas telefônicas; de acordo com o endereço selecionado a origem vai se interligar com 1 dos 4 destinatários. A estrutura é similar a de um decodificador com a modificação que cada porta tem uma entrada adicional, que é a mesma para todas as portas. Dependendo do endereço requerido pelos bits de endereço S 1 S 0, o dado de entrada será dirigido a um ou outro destino. Filosoficamente, o decodificador e o DEMUX são bem diferentes, já que o primeiro tem como objetivo principal distinguir uma saída das demais, enquanto que o último, objetiva direcionar o dado de entrada para uma das saídas, permitindo inclusive, que todas as saídas tenham o mesmo valor lógico.

Circuitos Integrados (CIs) Sistemas Digitais

Circuitos Integrados (CIs) Sistemas Digitais Circuitos Integrados (CIs) Sistemas Digitais C.I.: Introdução Conhecido comumente por chip Coleção de resistores, diodos e transistores fabricados em um pedaço de material semicondutor (geralmente silício)

Leia mais

Circuitos Combinacionais. Sistemas digitais

Circuitos Combinacionais. Sistemas digitais Circuitos Combinacionais Sistemas digitais Agenda } Codificador X Decodificador } Código BCD 8421, código BCH, código 9876543210 } Display de 7 segmentos } Multiplexador X Demultiplexador } Comparadores

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

Estudos Técnicos de Componentes e Periféricos (ETCP) Prof. Mauricio ETCP

Estudos Técnicos de Componentes e Periféricos (ETCP) Prof. Mauricio ETCP FTEP Estudos Técnicos de Componentes e Periféricos () Prof. Mauricio Portas Lógicas História: Em 854, o matemático britânico George oole (85-864), através da obra intitulada n Investigation of the Laws

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

5º Experimento: Somador Binário de números com sinal

5º Experimento: Somador Binário de números com sinal Capítulo 1 5º Experimento: Somador Binário de números com sinal 1.1 Objetivos Usar uma porta XOR de duas entradas para realizar a função unária de negação. Usar um somador binário para somar números sem

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2012 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação

Introdução. A Informação e sua Representação (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação A Informação e sua Representação (Parte III) Prof.a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

UNIBRATEC Ensino Superior e Técnico em Informática DHD Desenvolvimento em Hardware

UNIBRATEC Ensino Superior e Técnico em Informática DHD Desenvolvimento em Hardware UNIBRATEC Ensino Superior e Técnico em Informática DHD Desenvolvimento em Hardware 1 Capítulo 4 Lógica Digital Básica UNIBRATEC Ensino Superior e Técnico em Informática DHD Desenvolvimento em Hardware

Leia mais

3 Sistemas de Numeração:

3 Sistemas de Numeração: 3 Sistemas de Numeração: Os computadores eletrônicos têm como base para seu funcionamento a utilização de eletricidade. Diferente de outras máquinas que a presença ou ausência de eletricidade apenas significam

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes //24 UNIVERIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 6 CODIFICADORE E DECODIFICADORE Em 3 de outubro de 24.

Leia mais

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Representação de grandeza com sinal O bit mais significativo representa o sinal: 0 (indica um número

Leia mais

Aritmética Binária e. Bernardo Nunes Gonçalves

Aritmética Binária e. Bernardo Nunes Gonçalves Aritmética Binária e Complemento a Base Bernardo Nunes Gonçalves Sumário Soma e multiplicação binária Subtração e divisão binária Representação com sinal Sinal e magnitude Complemento a base. Adição binária

Leia mais

CAPÍTULO 6 ARITMÉTICA DIGITAL

CAPÍTULO 6 ARITMÉTICA DIGITAL CAPÍTULO 6 ARITMÉTICA DIGITAL Introdução Números decimais Números binários positivos Adição Binária Números negativos Extensão do bit de sinal Adição e Subtração Overflow Aritmético Circuitos Aritméticos

Leia mais

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais.

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais. iência da omputação ircuitos ombinacionais Parte II Prof. Sergio Ribeiro onteúdo Introdução ódigos inários ódigo Outros ódigos ódigo Excesso de ódigo Gray ódigos de bits ódigo odificadores e ecodificadores

Leia mais

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior.

Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Circuitos comparadores ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI (2) 1/11 Um circuito comparador permite determinar se dois números binários são iguais, e não o sendo, qual deles é o maior. Comparador

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

CIRCUITOS INTEGRADOS. Surgiram na década de 1970. O seu interesse resulta da miniaturização dos circuitos. http://www.prof2000.

CIRCUITOS INTEGRADOS. Surgiram na década de 1970. O seu interesse resulta da miniaturização dos circuitos. http://www.prof2000. CIRCUITOS INTEGRADOS Surgiram na década de 1970. O seu interesse resulta da miniaturização dos circuitos. http://www.prof2000.pt/users/lpa Parte funcional do componente discreto Os componentes discretos

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1 Introdução à Organização e Arquitetura de Computadores Prof. Leonardo Barreto Campos 1 Sumário Introdução; Evolução dos Computadores; Considerações da Arquitetura de von Neumann; Execução de uma instrução

Leia mais

Aula 8 Circuitos Integrados

Aula 8 Circuitos Integrados INTRODUÇÃO À ENGENHRI DE COMPUTÇÃO PONTIFÍCI UNIVERSIDDE CTÓLIC DO RIO GRNDE DO SUL FCULDDE DE ENGENHRI ula Circuitos Integrados Introdução Portas Lógicas em Circuitos Integrados Implementação de Funções

Leia mais

Controle universal para motor de passo

Controle universal para motor de passo Controle universal para motor de passo No projeto de automatismos industriais, robótica ou ainda com finalidades didáticas, um controle de motor de passo é um ponto crítico que deve ser enfrentado pelo

Leia mais

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL 1 CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL Sumário 1.1. Sistemas de Numeração... 3 1.1.1. Conversão Decimal Binária... 3 1.1.2. Conversão Binária Decimal... 3 1.1.3. Conversão Binária Hexadecimal...

Leia mais

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Colegiado de Engenharia da Computação CECOMP Introdução à Algebra de Boole Em lógica tradicional, uma decisão é tomada

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: O Computador como uma Máquina Multinível (cont.) José Gonçalves - LPRM/DI/UFES Introdução à Engenharia de Computação Máquina Multinível Moderna Figura 1 Máquina

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

D C S /C / EE E I/ I U / FC F G

D C S /C / EE E I/ I U / FC F G Universidade Federal de Campina Grande Unidade Acadêmica de Sistemas e Computação Introdução à Computação Conceitos Básicos B de Eletrônica Digital (Parte I) Prof. a Joseana Macêdo Fechine Régis de Araújo

Leia mais

Conheça o 4017 (ART062)

Conheça o 4017 (ART062) 1 de 11 20/02/2013 18:14 Conheça o 4017 (ART062) Este artigo não é novo, mas sua atualidade se manterá por muito tempo, o que jusitifica o fato dele ser um dos mais acessados desse site. De fato, o circuito

Leia mais

Introdução à Engenharia de

Introdução à Engenharia de Introdução à Engenharia de Computação Tópico: Sistemas de Numeração José Gonçalves - LPRM/DI/UFES Introdução à Engenharia de Computação Introdução O número é um conceito abstrato que representa a idéia

Leia mais

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos

Sistemas de Numeração. Engenharia da Computação 3 Período Alex Vidigal Bastos UNIPAC Sistemas Digitais Sistemas de Numeração Engenharia da Computação 3 Período Alex Vidigal Bastos 1 Agenda Objetivos Introdução Sistema Binário Sistema Octal Sistema Hexadecimal Aritméticas no Sistema

Leia mais

Circuitos Digitais Cap. 5

Circuitos Digitais Cap. 5 Circuitos Digitais Cap. 5 Prof. José Maria P. de Menezes Jr. Objetivos Aritmética Digital Adição Binária Subtração Binária Representação de números com sinal Complemento de 2 Negação Subtração como soma

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui

Leia mais

Experimento 03 Circuito Decodificador e Multiplex

Experimento 03 Circuito Decodificador e Multiplex Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 03 Circuito Decodificador e Multiplex Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário

Leia mais

Controladores Lógicos Programáveis CLP (parte-3)

Controladores Lógicos Programáveis CLP (parte-3) Controladores Lógicos Programáveis CLP (parte-3) Mapeamento de memória Na CPU (Unidade Central de Processamento) de um CLP, todas a informações do processo são armazenadas na memória. Essas informações

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

CIRCUITOS E SISTEMAS ELECTRÓNICOS

CIRCUITOS E SISTEMAS ELECTRÓNICOS INSTITUTO SUPERIOR DE CIÊNCIAS DO TRABALHO E DA EMPRESA Apontamentos sobre Famílias Lógicas CIRCUITOS E SISTEMAS ELECTRÓNICOS APONTAMENTOS SOBRE FAMÍLIAS LÓGICAS Índice Introdução... 1 Tempos de atraso

Leia mais

Codificação 1. Introdução. C 2 R r {! + codificação

Codificação 1. Introdução. C 2 R r {! + codificação Codificação 1. Introdução A unidade básica de memória é o digito binário (bit). Para representar diferentes em memória é necessário que o bit armazene pelo menos 2 valores. A informação pode ser armazenada

Leia mais

Exemplo de Subtração Binária

Exemplo de Subtração Binária Exemplo de Subtração Binária Exercícios Converta para binário e efetue as seguintes operações: a) 37 10 30 10 b) 83 10 82 10 c) 63 8 34 8 d) 77 8 11 8 e) BB 16 AA 16 f) C43 16 195 16 3.5.3 Divisão binária:

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Organização do curso Introdução à eletrônica digital

Leia mais

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio:

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio: ELETRÔNICA DIGITAl I 1 SISTEMAS DE NUMERAÇÃO INTRODUÇÃO A base dos sistemas digitais são os circuitos de chaveamento (switching) nos quais o componente principal é o transistor que, sob o ponto de vista

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 13 Índice 1. Circuitos Digitais - Continuação...3 1.1. Por que Binário?... 3 1.2. Conversão entre Bases... 3 2 1. CIRCUITOS DIGITAIS - CONTINUAÇÃO 1.1. POR QUE BINÁRIO?

Leia mais

Funções Lógicas e Portas Lógicas

Funções Lógicas e Portas Lógicas Funções Lógicas e Portas Lógicas Nesta apresentação será fornecida uma introdução ao sistema matemático de análise de circuitos lógicos, conhecido como Álgebra de oole Serão vistos os blocos básicos e

Leia mais

1. Sistemas de numeração

1. Sistemas de numeração 1. Sistemas de numeração Quando mencionamos sistemas de numeração estamos nos referindo à utilização de um sistema para representar uma numeração, ou seja, uma quantidade. Sistematizar algo seria organizar,

Leia mais

Apostila para Eletrônica ELETRÔNICA DIGITAL I

Apostila para Eletrônica ELETRÔNICA DIGITAL I Apostila para Eletrônica ELETRÔNICA DIGITAL I Prof. Reinaldo Bolsoni Eletrônica Digital I 1/37 ÍNDICE 1 - SISTEMA NUMÉRICO... 3 1.1 - SISTEMA BINÁRIO...3 Conversão Decimal para Binário...4 Conversão Binário

Leia mais

ELETRÔNICA DIGITAL 1

ELETRÔNICA DIGITAL 1 CENTRO FEDERAL DE ENSINO TECNOLÓGICO DE SANTA CATARINA UNIDADE SÃO JOSÉ ÁREA DE TELECOMUNICAÇÕES ELETRÔNICA DIGITAL 1 CAPÍTULO 1 SUMÁRIO INTRODUÇÃO...2 1. SISTEMAS DE NUMERAÇÃO...4 1.1 Introdução...4

Leia mais

CONTROLE DIGITAL DE VOLUME 1.-----------------------------------------------------------------------------

CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- Uma boa gama de aplicações atuais utiliza o controle de volume digital. Não nos referimos apenas

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB Calculando a capacidade de disco: Capacidade = (# bytes/setor) x (méd. # setores/trilha) x (# trilhas/superfície) x (# superfícies/prato) x (# pratos/disco) Exemplo 01: 512 bytes/setor 300 setores/trilha

Leia mais

Circuitos Digitais. Engenharia de Automação e Controle Engenharia Elétrica. São Paulo 2014. Prof. José dos Santos Garcia Neto

Circuitos Digitais. Engenharia de Automação e Controle Engenharia Elétrica. São Paulo 2014. Prof. José dos Santos Garcia Neto Engenharia de Automação e Controle Engenharia Elétrica Circuitos Digitais Prof. José dos Santos Garcia Neto São Paulo 2014 Prof. José dos Santos Garcia Neto 1 Introdução Esta apostila tem como objetivo

Leia mais

Portas lógicas e Circuitos. Marcos Monteiro, MBA

Portas lógicas e Circuitos. Marcos Monteiro, MBA Portas lógicas e Circuitos Marcos Monteiro, MBA Cultura Inútil Em 1854, o matemático britânico George Boole (1815 1864), através da obra intitulada An Investigation of the Laws of Thought (Uma Investigação

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: DESCODIFICADORES CODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de CIRCUITOS

Leia mais

Circuitos Lógicos. Aulas Práticas

Circuitos Lógicos. Aulas Práticas Circuitos Lógicos Aulas Práticas A Protoboard A Protoboard A Protoboard é um equipamento que permite interconectar dispositivos eletrônicos tais como resistores, diodos, transistores, circuitos integrados

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 8 ) Famílias Lógicas e Circuitos Integrados Estudaremos o funcionamento interno dos dispositivos de cada Família Lógica Os CIs são constituídos pelo conjunto de diversas portas digitais integradas

Leia mais

Período: 4º Disciplina: Técnicas e Sistemas Digitais

Período: 4º Disciplina: Técnicas e Sistemas Digitais Período: 4º Disciplina: Técnicas e Sistemas Digitais Carga Horária Semestral: 60 h/a Carga Horária Semanal: 3 h/a Núcleo Profissionalizante Pré-requisito: Não há Correquisito: Não há Disciplina Obrigatória

Leia mais

Sistemas de Numeração

Sistemas de Numeração Sistemas de Numeração Um numeral é um símbolo ou grupo de símbolos que representa um número em um determinado instante da evolução do homem. Tem-se que, numa determinada escrita ou época, os numerais diferenciaram-se

Leia mais

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s)

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Memórias O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Essas informações são guardadas eletricamente em células individuais. Chamamos cada elemento

Leia mais

Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos

Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos TUTORIAL Montagem da Ponte H Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

9 Eletrônica: circuitos especiais

9 Eletrônica: circuitos especiais U UL L Eletrônica: circuitos especiais Um problema Um dos problemas com que se defrontava a eletrônica consistia no fato de que as válvulas, então empregadas nos sistemas, além de serem muito grandes,

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Sistemas de Numeração. Introdução ao Computador 2010/1 Renan Manola

Sistemas de Numeração. Introdução ao Computador 2010/1 Renan Manola Sistemas de Numeração Introdução ao Computador 2010/1 Renan Manola Introdução Em sistemas digitais o sistema de numeração binário é o mais importante, já fora do mundo digital o sistema decimal é o mais

Leia mais

3. Arquitetura Básica do Computador

3. Arquitetura Básica do Computador 3. Arquitetura Básica do Computador 3.1. Modelo de Von Neumann Dar-me-eis um grão de trigo pela primeira casa do tabuleiro; dois pela segunda, quatro pela terceira, oito pela quarta, e assim dobrando sucessivamente,

Leia mais

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação

Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação Ministério da Educação Universidade Federal do Ceará Pró-Reitoria de Graduação PROGRAMA DA DISCIPLINA 1 2 Curso: Engenharia Elétrica Código: 20 3 4 Modalidade(s): Bacharelado Currículo(s): 2005/1 5 Turno(s):

Leia mais

SISTEMAS DIGITAIS Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com

SISTEMAS DIGITAIS Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com - Aula 1 - SISTEMA DE NUMERAÇÃO BINÁRIA E DECIMAL Todos os computadores são formados por circuitos digitais, onde as informações e os dados são codificados com dois níveis de tensão, pelo que o seu sistema

Leia mais

AULA4: PROCESSADORES. Figura 1 Processadores Intel e AMD.

AULA4: PROCESSADORES. Figura 1 Processadores Intel e AMD. AULA4: PROCESSADORES 1. OBJETIVO Figura 1 Processadores Intel e AMD. Conhecer as funcionalidades dos processadores nos computadores trabalhando suas principais características e aplicações. 2. INTRODUÇÃO

Leia mais

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS Ciência da Computação Sistemas de Numeração e Conversões Prof. Sergio Ribeiro Material adaptado das aulas do Prof. José Maria da UFPI Conteúdo Conversões de binário para decimal. Conversões de decimal

Leia mais

Apresentação...4. Módulo I...6 a 67. Módulo II...70 a 88

Apresentação...4. Módulo I...6 a 67. Módulo II...70 a 88 1 2 Apresentação...4 Módulo I...6 a 67 Módulo II...70 a 88 3 Caro Aluno, Ao optar pela obtenção da carteira de Aviônicos como especialidade técnica em manutenção de aeronaves você deu o primeiro passo

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr. Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Aula 04. Código BCD, Códigos Alfa-numéricos e Sistemas de Detecção de Erros

Aula 04. Código BCD, Códigos Alfa-numéricos e Sistemas de Detecção de Erros Aula 04 Código BCD, Códigos Alfa-numéricos e Sistemas de Detecção de Erros Prof. Otávio Gomes otavio.gomes@ifmg.edu.br sites.google.com/a/ifmg.edu.br/otavio-gomes/ 1 Bytes A maioria dos microcomputadores

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos.

2. Sistemas de Numeração, Operações e Códigos. 2. Sistemas de Numeração, Operações e Códigos 1. Números Decimais. Objetivos. Objetivos 2. Sistemas de Numeração, Operações e Códigos Revisar o sistema de numeração decimal Contar no sistema de numeração binário Converter de decimal para binário e vice-versa Aplicar operações aritméticas

Leia mais

Conceitos Fundamentais de Eletrônica

Conceitos Fundamentais de Eletrônica Conceitos Fundamentais de Eletrônica A eletrônica está fundamentada sobre os conceitos de tensão, corrente e resistência. Podemos entender como tensão a energia potencial armazenada em uma pilha ou bateria

Leia mais

2. Representação Numérica

2. Representação Numérica 2. Representação Numérica 2.1 Introdução A fim se realizarmos de maneira prática qualquer operação com números, nós precisamos representa-los em uma determinada base numérica. O que isso significa? Vamos

Leia mais

Capítulo III Circuitos Digitais Combinacionais

Capítulo III Circuitos Digitais Combinacionais Capítulo III Circuitos Digitais Combinacionais 1 Introdução Vimos no Capítulo II que uma desejada função lógica pode ser implementada mediante a combinação de portas lógicas. Esta combinação de portas

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

1. NÍVEL CONVENCIONAL DE MÁQUINA

1. NÍVEL CONVENCIONAL DE MÁQUINA 1. NÍVEL CONVENCIONAL DE MÁQUINA Relembrando a nossa matéria de Arquitetura de Computadores, a arquitetura de Computadores se divide em vários níveis como já estudamos anteriormente. Ou seja: o Nível 0

Leia mais

REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade

REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade REPRESENTAÇÃO DE DADOS EM SISTEMAS DE COMPUTAÇÃO AULA 03 Arquitetura de Computadores Gil Eduardo de Andrade O conteúdo deste documento é baseado no livro Princípios Básicos de Arquitetura e Organização

Leia mais

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR 19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais

Leia mais

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Representação da Informação Um dispositivo eletrônico, armazena e movimenta as informações internamente

Leia mais

A lógica de programação ajuda a facilitar o desenvolvimento dos futuros programas que você desenvolverá.

A lógica de programação ajuda a facilitar o desenvolvimento dos futuros programas que você desenvolverá. INTRODUÇÃO A lógica de programação é extremamente necessária para as pessoas que queiram trabalhar na área de programação, seja em qualquer linguagem de programação, como por exemplo: Pascal, Visual Basic,

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária 1- Apresentação Binária Os computadores funcionam e armazenam dados mediante a utilização de chaves eletrônicas que são LIGADAS ou DESLIGADAS. Os computadores só entendem e utilizam dados existentes neste

Leia mais

Tecnologia VLSI - Uma Breve Introdução

Tecnologia VLSI - Uma Breve Introdução Tecnologia VLSI - Uma Breve Introdução S. W. Song MAC 412 - Organização de Computadores baseado em parte em Mead and Conway - Introduction to VLSI Systems, Addison-Wesley Tecnologia VLSI Tencologia de

Leia mais

ANALÓGICA X DIGITAL. Vamos começar essa aula estabelecendo os dois tipos de eletrônica: Eletrônica Analógica. Eletrônica Digital

ANALÓGICA X DIGITAL. Vamos começar essa aula estabelecendo os dois tipos de eletrônica: Eletrônica Analógica. Eletrônica Digital ANALÓGICA X DIGITAL Vamos começar essa aula estabelecendo os dois tipos de eletrônica: Eletrônica Analógica Eletrônica Digital ANALÓGICA X DIGITAL A eletrônica analógica é caracterizada por um sinal que

Leia mais

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA)

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) 1. Introdução 1.1 Inversor de Frequência A necessidade de aumento de produção e diminuição de custos faz surgir uma grande infinidade de equipamentos desenvolvidos

Leia mais

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa.

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Máquina Multinível Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando para o processador.

Leia mais

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de Escola Secundária c/3º CEB José Macedo Fragateiro Curso Profissional de Nível Secundário Componente Técnica Disciplina de Sistemas Digitais e Arquitectura de Computadores 29/21 Módulo 1: Sistemas de Numeração

Leia mais

Sistemas Numéricos e a Representação Interna dos Dados no Computador

Sistemas Numéricos e a Representação Interna dos Dados no Computador Capítulo 2 Sistemas Numéricos e a Representação Interna dos Dados no Computador 2.0 Índice 2.0 Índice... 1 2.1 Sistemas Numéricos... 2 2.1.1 Sistema Binário... 2 2.1.2 Sistema Octal... 3 2.1.3 Sistema

Leia mais

Microeletrônica. Germano Maioli Penello. http://www.lee.eng.uerj.br/~germano/microeletronica%20_%202015-1.html

Microeletrônica. Germano Maioli Penello. http://www.lee.eng.uerj.br/~germano/microeletronica%20_%202015-1.html Microeletrônica Germano Maioli Penello http://www.lee.eng.uerj.br/~germano/microeletronica%20_%202015-1.html Sala 5145 (sala 17 do laboratorio de engenharia elétrica) Aula 19 1 Pauta ÁQUILA ROSA FIGUEIREDO

Leia mais

Falso: F = Low voltage: L = 0

Falso: F = Low voltage: L = 0 Curso Técnico em Eletrotécnica Disciplina: Automação Predial e Industrial Professor: Ronimack Trajano 1 PORTAS LOGICAS 1.1 INTRODUÇÃO Em 1854, George Boole introduziu o formalismo que até hoje se usa para

Leia mais

UTFPR EL66J 2011/2. Módulos-padrão combinacionais

UTFPR EL66J 2011/2. Módulos-padrão combinacionais UTFPR EL66J 2/2 Módulos-padrão combinacionais Refresh istemas numéricos e códigos binários. Análise e projeto de circuitos combinacionais. Módulos-padrão combinacionais Nesta aula: ecodificador (decoder),

Leia mais

Conversores D/A e A/D

Conversores D/A e A/D Conversores D/A e A/D Introdução Um sinal analógico varia continuamente no tempo. Som Temperatura Pressão Um sinal digital varia discretamente no tempo. Processamento de sinais digitais Tecnologia amplamente

Leia mais

Disciplina: : ELETRÔNICA DIGITAL

Disciplina: : ELETRÔNICA DIGITAL Disciplina: : ELETRÔNICA DIGITAL Professor: Júlio César Madureira Silva Julho 2011 1 Ementa: 1. Sistemas de numeração Numeração decimal Numeração binária Numeração octal Numeração

Leia mais

Projeto de Circuitos. Introdução ao Computador 2008/01 Bernardo Gonçalves

Projeto de Circuitos. Introdução ao Computador 2008/01 Bernardo Gonçalves Projeto de Circuitos Lógicos Introdução ao Computador 2008/01 Bernardo Gonçalves Sumário Da Álgebra de Boole ao projeto de circuitos digitais; Portas lógicas; Equivalência de circuitos; Construindo circuitos

Leia mais

DISPOSITIVOS ESPECIAIS

DISPOSITIVOS ESPECIAIS DISPOSITIVOS ESPECIAIS 1 DISPOSITIVOS ESPECIAIS BUFFERS/DRIVERS TRI-STATE PORTAS EXPANSÍVEIS/EXPANSORAS SCHMITT - TRIGGER OBJETIVOS: a) Entender o funcionamento de dispositivos lógicos especiais como:

Leia mais

Hardware de Computadores

Hardware de Computadores Placa Mãe Hardware de Computadores Introdução Placa-mãe, também denominada mainboard ou motherboard, é uma placa de circuito impresso eletrônico. É considerado o elemento mais importante de um computador,

Leia mais