Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Tamanho: px
Começar a partir da página:

Download "Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação"

Transcrição

1 Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 10-T 4. Projeto de Sistemas Digitais o Nível RT. Aumetado o Nível de Abstração. Estudo de caso e Exploração do Espaço de Soluções: multiplicador por somas sucessivas (sol.1- custo míimo). Prof. José Luís Gützel gutzel@if.ufsc.br

2 4. Projeto de Sistemas Digitais o Nível RT Aumetado o Nível de Abstração Maior abstração permite: Tratar problemas mais complexos Explorar o espaço de soluções possível Aplicação: Quado o problema que se deseja solucioar pode ser apresetado como um algoritmo Formas de represetação: Fluxograma Trecho de código em pseudocódigo ou em alguma liguagem (ex.: C, C++, Java, SystemC) Slide 10T.2 Prof. José Luís Gützel

3 4. Projeto de Sistemas Digitais o Nível RT Aspectos a Serem Cosiderados o Projeto 1. Custo de Implemetação (Fabricação) 2. Desempeho 3. Cosumo de Eergia 4. Testabilidade 5. Tolerâcia (ou Robustez) a Falhas A otimização simultâea destas variáveis é difícil, pois muitas são coflitates etre si. Vejamos o porquê. Slide 10T.3 Prof. José Luís Gützel

4 4. Projeto de Sistemas Digitais o Nível RT Aspectos a Serem Cosiderados o Projeto 1. Custo de Implemetação (Fabricação) Depede do úmero de trasistores, quatidade de coexões, úmero de pios de E/S e tipo de ecapsulameto. Área do chip: quato maior a área, meor o redimeto do processo de fabricação ( yield ). Slide 10T.4 Prof. José Luís Gützel

5 4. Projeto de Sistemas Digitais o Nível RT Aspectos a Serem Cosiderados o Projeto 2. Desempeho O atraso crítico determia a máxima frequêcia de fucioameto. Para atigir uma meta de desempeho o projetista pode: Escolher uma tecologia de fabricação (CMOS) mais recete, com trasistores meores (e portato, mais cara). Otimizar o projeto elétrico e/ou lógico. Mudar a arquitetura do sistema, aumetado/iserido paralelismo Alterar o algoritmo, aumetado o grau de paralelismo. Slide 10T.5 Prof. José Luís Gützel

6 4. Projeto de Sistemas Digitais o Nível RT Aspectos a Serem Cosiderados o Projeto 3. Cosumo de Eergia Importatíssimo para aplicações portáteis, pois determia a duração da bateria (tecologia de armazeameto de eergia ão evolui com a mesma rapidez que a Microeletrôica). Dissipação do calor do chip requer um projeto térmico cuidadoso e pode icorrer em custos extras com ecapsulameto especial (mais caro) e vetilação forçada ( cooler ). Slide 10T.6 Prof. José Luís Gützel

7 4. Projeto de Sistemas Digitais o Nível RT Aspectos a Serem Cosiderados o Projeto 4. Testabilidade No circuito itegrado ão se tem acesso aos potos iteros, apeas aos pios de E/S. Geralmete, é ecessário iserir modificações e até mesmo blocos de hardware que facilitem o teste do sistema digital. A fase de teste correspode a aprox. 50% do custo total de desevolvimeto de um chip. Slide 10T.7 Prof. José Luís Gützel

8 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Colocação do Problema Dado um algoritmo (i.e., uma descrição comportametal), projetar um SD (sistema digital) capaz de implemetá-lo, atededo às restrições e aos requisitos de projeto, o que se refere a: 1. Custo de Implemetação 2. Desempeho 3. Cosumo de Eergia 4. Testabilidade 5. Tolerâcia (ou Robustez) a Falhas Slide 10T.8 Prof. José Luís Gützel

9 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo 1: Cosidere o seguite algoritmo iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! OBS: o algoritmo poderia estar descrito em C, C++, Java, SystemC etc, ou já estar represetado sob a forma de uma FSMD Slide 10T.9 Prof. José Luís Gützel

10 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo 1: Uma especificação melhorada Comportameto Iterfaces iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! iício Reset ck eta etb 4 4 S.D. 4 mult proto Slide 10T.10 Prof. José Luís Gützel

11 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo 1: Iformações cotidas em um algoritmo iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! Sial de cotrole (de saída) é iicializado com zero Valores das etradas eta e etb são atribuídos a variáveis A e B Variável auxiliar é iicializada com zero Testes (geram siais de status para o BC) Variáveis são usadas em operações aritméticas Resultado da operação é dispoibilizado a saída de dados Sial de cotrole (de saída) é setado para idicar o térmio Slide 10T.11 Prof. José Luís Gützel

12 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo 1: Iformações cotidas em um algoritmo iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! iício Reset Um algoritmo cotém iformações sobre: As operações que devem ser realizadas sobre os dados (usadas o projeto do B.O.) O fluxo de execução (usadas o projeto do B.C.) O algoritmo pode ser represetado graficamete por meio de um fluxograma ou por uma FSMD ck eta 4 S.D. mult etb 4 4 proto Slide 10T.12 Prof. José Luís Gützel

13 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo 1 iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! iício Reset Neste algoritmo: Há variáveis que servem para armazear dados (A, B, P) Há variáveis que são apeas iterfaces de etrada e saída (eta, etb, mult, proto) Deve haver UFs para realizar as operações especificadas Associados aos testes deve existir siais de status que o B.C. Usa para tomar as decisões ck eta 4 S.D. mult etb 4 4 proto Slide 10T.13 Prof. José Luís Gützel

14 4. Projeto de Sistemas Digitais o Nível RT Projeto de Sistemas Digitais para Aplicações Específicas Exemplo de Algoritmo iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! Até aqui, ada foi especificado a respeito do desempeho e do custo da implemetação Explorado a relação custo x desempeho: Uma operação por ciclo de relógio x várias operações por ciclo. Slide 10T.14 Prof. José Luís Gützel

15 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Exemplo 1: Projetar um BO para o SD que implemeta o algoritmo abaixo, assumido que: Este SD deve possuir duas etradas de dados O custo de implemetação deve ser míimo O SD ão precisa ter alto desempeho (e ão há restrição quato ao desempeho míimo ecessário) iício! iício Reset ck eta 4 S.D. mult etb 4 4 proto proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! Slide 10T.15 Prof. José Luís Gützel

16 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Reestruturado o Algoritmo para custo míimo iício Reset ck eta 4 S.D. mult etb 4 4 proto iício! proto 0;! A eta;! B etb;! P 0;! Se B 0 etão! Equato A 0 faça! iício! P P + B;! A A - 1;! mult P;! proto 1;! Iremos assumir que somete uma operação ocorre por ciclo de relógio As operações que podem ocorrer em paralelo estão em uma mesma caixa (observe que proto é uma saída de cotrole.) mult P! proto 1! iício! proto 0! A eta! B etb! P 0! S S B = 0! N A = 0! N P P + B! A A - 1! Slide 10T.16 Prof. José Luís Gützel

17 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Uidades Fucioais (UFs) Necessárias iício! Operações ecessárias: + e - (a verdade, seria um decremeto, mas vamos assumir subtração) As operações + e - são usadas em ciclos de relógio diferetes. Logo, poderemos usar um somador/subtrator, que é mais barato que um somador mais um subtrator proto 0! A eta! B etb! P 0! S S B = 0! N A = 0! N P P + B! mult P! proto 1! A A - 1! Slide 10T.17 Prof. José Luís Gützel

18 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Custo de UFs Versus Custo de UFs Combiadas Somador de 4 bits Subtrator de 4 bits b3 b2 b1 b0 a3 b3 a2 b2 a1 b1 a0 b0 a3 a2 a1 a0 c4 c3 c2 c1 c0 0 c4 c3 c2 c1 c0 1 s3 b3 s2 b2 s1 b0 s0 b0 s3 s2 s1 s0 c3 a3 a2 a1 a0 Somador/Subtrator de 4 bits c4 c3 c2 c1 c0 S overflow s3 s2 s1 s0 Slide 10T.18 Prof. José Luís Gützel

19 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Calculado o Custo do Somador/Subtrator b3 b2 b0 b0 c3 a3 a2 a1 a0 c4 c3 c2 c1 c0 S a i b i MS overflow s3 s2 s1 s0 g i p i c i+1 c i x i MS s i Slide 10T.19 Prof. José Luís Gützel

20 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Calculado o Custo do Somador/Subtrator b3 b2 b0 b0 Mas em CMOS c3 a3 a2 a1 a0 a i b i c4 c3 c2 c1 c0 S overflow s3 s2 s1 s0 p i Vcc c i+1 c i A B A S s i B Slide 10T.20 Prof. José Luís Gützel

21 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Calculado o Custo do Somador/Subtrator a i b i Algumas Implemetações CMOS para a xor X Y X Y c i+1 c i X Y X Y X X s i Y Y X Y 16 trasistores Slide 10T trasistores (ecessita de 2 iversores) Prof. José Luís Gützel

22 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Calculado o Custo do Somador/Subtrator a i b i Algumas Implemetações CMOS para a xor Y X X X c i+1 c i Y X Y Vcc X Y Y s i 8 trasistores 6 trasistores (é a mais usada) Slide 10T.22 Prof. José Luís Gützel

23 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Calculado o Custo do Somador/Subtrator b3 b2 b0 b0 c3 a3 a2 a1 a0 c4 c3 c2 c1 c0 S overflow s3 s2 s1 s0 Custo do Somador/subtrator, por bit: 3 portas xor: 3 x 6 = 18 trasistores 3 portas ad de duas etradas: 3 x 4 = 12 trasistores Logo, custo de um bit = 30 trasistores (igorado-se a xor que calcula o overflow) Custo de um somador/subtrator de bits: 30 trasistores Slide 10T.23 Prof. José Luís Gützel

24 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Registradores Há três variáveis (p/ dados): A, B e P Iremos cosiderar que mult é apeas uma saída de dados (e portato, ão ecessita de um registrador) Quatos registradores serão ecessários? Será preciso fazer uma aálise do tempo de vida das variáveis... proto 0! A eta! B etb! P 0! S S iício! B = 0! N A = 0! N P P + B! mult P! proto 1! A A - 1! Slide 10T.24 Prof. José Luís Gützel

25 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Registradores Aálise do tempo de vida das variáveis: A aálise acima cosidera que uma variável está viva desde o ciclo de relógio subsequete ao ciclo o qual ela recebe um valor ovo até o último ciclo o qual ela é cosultada. ( Jaelas podem ocorrer...) A X X X X B X X X X P X X X X X 6 mult P! proto 1! proto 0! A eta! B etb! P 0! S S iício! B = 0! N A = 0! N P P + B! A A - 1! Slide 10T.25 Prof. José Luís Gützel

26 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Registradores Aálise do tempo de vida das variáveis: A X X X X B X X X X P X X X X X as variáveis A, B e P são escritas a borda de relógio que ecerra o passo 1 e dá iício ao passo 2 O úmero máximo de variáveis simultaeamete vivas é 3. Logo, são ecessários 3 registradores. Chamemo-los de A, B e P. 6 mult P! proto 1! proto 0! A eta! B etb! P 0! S S iício! B = 0! N A = 0! N P P + B! A A - 1! Slide 10T.26 Prof. José Luís Gützel

27 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Dúvida: ão dá para reduzir o úmero de passos? (e com isto, reduzir também o custo do BC?) Resposta: sim! Se usarmos dois comparadores, poderemos realizar os passos 2 e 3 em um úico estado proto 0! A eta! B etb! P 0! S iício! B = 0! 2 1 registrador B = 0? N S A = 0! N P P + B! 3 4 zero zero 6 mult P! proto 1! A A - 1! 5 Slide 10T.27 Prof. José Luís Gützel

28 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Solução 1: Novo Fluxograma e FSMD equivalete iício! iicio=0 proto 0! A eta! B etb! P 0! S B = 0! 1 2 S0 proto 1 iicio=1 S1 proto 0 A eta B etb P 0 S N A = 0! A=0 ou B=0 S2 A 0 e B 0 N P P + B! 3 S3 P P + B 5 mult P! proto 1! A A - 1! 4 S5 proto 1 S4 A A 1 Slide 10T.28 Prof. José Luís Gützel

29 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo iicio=0 S0 A=0 ou B=0 proto 1 iicio=1 S1 S2 proto 0 A eta B etb P 0 A 0 e B 0 Solução 1: elemetos para o BO: 1 somador/subtrator 3 registradores com carga paralela, A, B e P, sedo P com reset assícroo Rede de itercoexão apropriada RstP CP P A CA B CB S3 P P + B overflow +/- op S5 proto 1 S4 A A 1 op operação 0 S=X+Y 1 S=X-Y Slide 10T.29 Prof. José Luís Gützel

30 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo iicio=0 S0 A=0 ou B=0 proto 1 iicio=1 S1 S2 proto 0 A eta B etb P 0 A 0 e B 0 eta etb 0 1 ma RstP CP P A CA B CB 1 m Az Bz m2 S3 P P + B overflow +/- op S5 proto 1 S4 A A 1 mult op operação 0 S=X+Y 1 S=X-Y Slide 10T.30 Prof. José Luís Gützel

31 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco Operativo Visado Custo Míimo Verificação do Fucioameto Exemplo: eta = 3, etb = 4 (ao fial, A=0 e P=12) S0 A=0 ou B=0 iicio=0 proto 1 iicio=1 S1 S2 proto 0 A eta B etb P 0 A 0 e B 0 Coteúdo dos registradores (e valor do sial de status Az) S0 S1 S2 S3 S4 S2 S3 S4 S2 S3 S4 S2 S5 A X X B X X P X X Az X X S3 P P + B Tempo de execução: 12 ciclos de relógio (+ o de ciclos em que ficar em S0) S5 proto 1 S4 A A 1 Slide 10T.31 Prof. José Luís Gützel

32 4. Projeto de Sistemas Digitais o Nível RT Cálculo do Custo do Bloco Operativo eta 0 1 ma etb somador/subtrator de bits: 30 trasistores E o resto? RstP CP P A CA B CB 1 m Az Bz m2 overflow +/- op mult Slide 10T.32 Prof. José Luís Gützel

33 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Custo do Bloco Operativo Custo do Mux 2:1 em CMOS sel A 0 Y sel B 1 A sel Y A Y B B 14 ou 12 trasistores 6 ou 4 trasistores (mais usado) Slide 10T.33 Prof. José Luís Gützel

34 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Custo do Bloco Operativo Custo de um Flip-flop D mestre-escravo CMOS D C Q Q 18 ou 20 trasistores (evetualmete, podemos cosiderar somete um iversor para o clock de todos os bits) CK CK D D CK CK CK CK CK OBS: para set ou reset assícroo, adicioar 2 trasistores CK Slide 10T.34 Prof. José Luís Gützel

35 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Custo do Bloco Operativo Custo de um Flip-flop D mestre-escravo CMOS com habilitação de carga paralela 18+4= 22 trasistores dado 0 1 D Q Q carga C Q CK OBS: para set ou reset assícroo, adicioar 2 trasistores Slide 10T.35 Prof. José Luís Gützel

36 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Custo do Bloco Operativo Resumo Compoete RT Custo Somador Subtrator D Q Somador/subtrator Mux 2: C Q Registrador com carga paralela (+4 trasistores para set ou reset assícroo) 18 Registrador com carga paralela cotrolada (+4 trasistores para set ou reset assícroo) 22 dado 0 1 D Q Q carga C Q CK Slide 10T.36 Prof. José Luís Gützel

37 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Custo do Bloco Operativo eta etb 0 1 ma RstP CP P A CA B CB 1 m Az Bz m2 Custo do BO 1 Custo 1 Somador/subtrator 30 3 Muxes 2:1 3x4=12 2 Registradores com carga paralela cotrolada 1 Registrador com carga paralela cotrolada e reset assícroo Total 2x22= overflow +/- op Estimativa de custo para o BC: Número de estados: 5 ou 6 Número de siais de cotrole = 8 mult Slide 10T.37 Prof. José Luís Gützel

38 4. Projeto de Sistemas Digitais o Nível RT Estimativa do Desempeho do Bloco Operativo iicio=0 S0 proto 1 iicio=1 S1 proto 0 A eta B etb P 0 Se = 4 bits: Maior iteiro sem sial: 15 ( 1111) Pior caso: A=15, B 0 Sequêcia de execução: S1, 15 x[s2,s3,s4], S2, S5 = 48 ciclos de relógio S5 A=0 ou B=0 proto 1 S2 A 0 e B 0 S3 P P + B S4 A A 1 Geeralizado para bits: Maior iteiro sem sial: 2-1 Pior caso: A= 2-1, B 0 Sequêcia de execução: S1, (2-1)x [S2,S3,S4], S2, S5 = 3x(2-1)+3 ciclos de relógio = 3x2 ciclos de relógio Slide 10T.38 Prof. José Luís Gützel

39 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco de Cotrole Visado Custo Míimo Diagrama de Estados (Assumido Moore) iicio=0 iicio=0 S0 proto 1 iicio=1 S1 proto 0 A eta B etb P 0 S0 proto 1 iicio=1 S1 proto 0 A eta B etb P 0 A=0 ou B=0 S2 A 0 e B 0 Az + Bz S2 Az Bz S3 P P + B S3 P P + B S5 proto 1 S4 A A 1 S5 proto 1 S4 A A 1 Note que as codições Az + Bz e Az Bz são complemetares. Slide 10T.39 Prof. José Luís Gützel

40 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco de Cotrole Visado Custo Míimo Tabela de Trasição de Estados (Assumido Moore) iicio=0 S0 iicio=1 S1 Estado atual S0 0 Etradas iício BZ AZ Próx. Estado S0 S1 S S2 S2-0 0 S3 Az + Bz S2 Az Bz S5 S5 S5 S3 S S4 S5 S4 S S2 S S0 Slide 10T.40 Prof. José Luís Gützel

41 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco de Cotrole Visado Custo Míimo Tabela de Saídas (Assumido Moore) S5 iicio=0 S0 Az + Bz proto 1 proto 1 iicio=1 S1 S2 S3 proto 0 A eta B etb P 0 S4 Az Bz P P + B A A 1 mult overflow eta 0 1 ma +/- etb RstP CP P A CA B CB m Az Bz m2 op 1 op operação 0 S=X+Y 1 S=X-Y Slide 10T.41 Prof. José Luís Gützel

42 4. Projeto de Sistemas Digitais o Nível RT Projeto do Bloco de Cotrole Visado Custo Míimo Tabela de Saídas (Assumido Moore) iicio=0 S0 Az + Bz proto 1 iicio=1 S1 S2 proto 0 A eta B etb P 0 Az Bz Estado Reg. P Reg. A Somador/Sub Saída RstP CP ma CA CB m1 m2 op proto S S S S S S S3 P P + B 1 sial 1 sial S5 proto 1 S4 A A 1 RstP = ma = CB CP CA = op = m1 = m2 proto 4 siais Slide 10T.42 Prof. José Luís Gützel

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de ata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Lógica Programável IE 5348 Aula Projeto de istemas Digitais o ível

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de ata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula -T. Projeto de istemas Digitais o ível RT. Estudo de caso e

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata ataria etro Tecológico epartameto de Iformática e Estatística urso de Graduação em iêcias da omputação ula 3-T. Projeto de UL. Registradores de uso específico. ULs persoalizadas

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 13-P Descrição em VHDL, sítese e simulação de um sistema digital

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 10-P Descrição em VHDL, sítese e simulação de um sistema digital

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata ataria etro Tecológico epartameto de Iformática e Estatística urso de Graduação em iêcias da omputação Aula 2-T. Projeto de ULA. ircuitos igitais e Níveis de Abstração. Fucioameto

Leia mais

5. ANÁLISE DE SISTEMAS DA CONFIABILIADE DE SISTEMAS SÉRIE-PARALELO

5. ANÁLISE DE SISTEMAS DA CONFIABILIADE DE SISTEMAS SÉRIE-PARALELO 5. ANÁLISE DE SISTEMAS DA CONFIABILIADE DE SISTEMAS SÉRIE-PARALELO 5.1 INTRODUÇÃO Um sistema é defiido como todo o cojuto de compoetes itercoectados, previamete determiados, de forma a realizar um cojuto

Leia mais

Representação de Números em Ponto Flutuante

Representação de Números em Ponto Flutuante Represetação de Números em Poto Flutuate OBS: Esta aula é uma reprodução, sob a forma de slides, da aula em vídeo dispoibilizada pelo prof. Rex Medeiros, da UFRN/ECT, em https://youtu.be/ovuymcpkoc Notação

Leia mais

3 a Lista de Exercícios

3 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2010/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Circuitos Aritméticos II

Circuitos Aritméticos II Circuitos Aritméticos II José Costa Itrodução à Arquitetura de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior Técico 2013-10-11 José Costa (DEI/IST) Circuitos Aritméticos II 1

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula -T 4. Projeto de Sistemas Digitais no Nível RT. Classificação

Leia mais

Sistemas Digitais Palavra de Controle (Control Word)

Sistemas Digitais Palavra de Controle (Control Word) Sistemas Digitais Palavra de Cotrole (Cotrol Word) Referêcia Bibliográfica: Logic ad Computer Desig Fudametals Mao & Kime Adaptações: josé artur quilici-gozalez Sumário Datapaths e Cotrol Word Itrodução

Leia mais

. Dessa forma, quanto menor o MSE, mais a imagem

. Dessa forma, quanto menor o MSE, mais a imagem Uiversidade Federal de Perambuco CI / CCEN - Área II 1 o Exercício de Cálculo Numérico ( 18 / 06 / 2014 ) Aluo(a) 1- Questão 1 (2,5 potos) Cosidere uma imagem digital como uma matriz bidimesioal de dimesões

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Estrutura Itera de um Processador (8.1 a 8.3) José Moteiro Liceciatura em Egeharia Iformática e de Computadores epartameto de Egeharia Iformática (EI) Istituto Superior Técico

Leia mais

Eletrônica 1. Aula 05 (Amplificador Classe A) CIn-UPPE

Eletrônica 1. Aula 05 (Amplificador Classe A) CIn-UPPE Eletrôica 1 Aula 05 (Amplificador Classe A) CI-UPPE Amplificador básico (classe A) Amplificador básico É um circuito eletrôico, baseado em um compoete ativo, como o trasistor ou a válvula, que tem como

Leia mais

Universidade Federal de Alfenas

Universidade Federal de Alfenas Uiversidade Federal de Alfeas Algoritmos em Grafos Aula 02 Coceitos Básicos Prof. Humberto César Bradão de Oliveira Última aula Histórico dos Grafos Abstração por Euller; Utilização em Química; Utilização

Leia mais

Dessa forma, concluímos que n deve ser ímpar e, como 120 é par, então essa sequência não possui termo central.

Dessa forma, concluímos que n deve ser ímpar e, como 120 é par, então essa sequência não possui termo central. Resoluções das atividades adicioais Capítulo Grupo A. a) a 9, a 7, a 8, a e a 79. b) a, a, a, a e a.. a) a, a, a, a 8 e a 6. 9 b) a, a 6, a, a 9 e a.. Se a 9 e a k são equidistates dos extremos, etão existe

Leia mais

Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos

Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos. Análise de Algoritmos Aálise de Algoritmos Aálise de Algoritmos Prof Dr José Augusto Baraauskas DFM-FFCLRP-USP A Aálise de Algoritmos é um campo da Ciêcia da Computação que tem como objetivo o etedimeto da complexidade dos

Leia mais

Parte 3: Gráfico de Gestão de Estoque. Gráficos e Cálculos Fundamentais

Parte 3: Gráfico de Gestão de Estoque. Gráficos e Cálculos Fundamentais Capítulo 3: Gestão de stoques Curso de Admiistração de mpresas 2º Semestre 09 Disciplia: Admiistração da Logística e Patrimôio Capítulo 03: Gestão de estoques (Partes 3 e 4) Parte : Itrodução Parte 2:

Leia mais

Provas de Matemática Elementar - EAD. Período

Provas de Matemática Elementar - EAD. Período Provas de Matemática Elemetar - EAD Período 01. Sérgio de Albuquerque Souza 4 de setembro de 014 UNIVERSIDADE FEDERAL DA PARAÍBA CCEN - Departameto de Matemática http://www.mat.ufpb.br/sergio 1 a Prova

Leia mais

Conversores Analógico/Digital (A/D)

Conversores Analógico/Digital (A/D) Coversores Aalógico/Digital (A/D) Um coversor A/D é um circuito ue coverte um ível de tesão (ou correte) em um valor umérico (digital) correspodete. São a base de ualuer istrumeto de medição digital. Existem

Leia mais

Implementação de Planilha de Cálculos Simplificada

Implementação de Planilha de Cálculos Simplificada INF 1620 Estruturas de Dados Semestre 08.2 Primeiro Trabalho Implemetação de Plailha de Cálculos Simplificada Uma plailha de cálculos é um programa muito utilizado em aplicações fiaceiras e aquelas que,

Leia mais

15/3/2010. Aula 2. Engenharia de Sistemas Embarcados. Introdução. Prof. Abel Guilhermino Tópico: Projeto de Sistemas Embarcados

15/3/2010. Aula 2. Engenharia de Sistemas Embarcados. Introdução. Prof. Abel Guilhermino Tópico: Projeto de Sistemas Embarcados 5/3/20 Itrodução Aula 2 Egeharia de Sistemas Embarcados Prof. Abel Guilhermio Tópico: Projeto de Sistemas Embarcados Processador Circuito digital que realiza tarefas computacioais ador e camiho de dados

Leia mais

ESTATÍSTICA E PROBABILIDADES

ESTATÍSTICA E PROBABILIDADES ESTATÍSTICA E PROBABILIDADES Aluo(a): Turma: Professores: Data: Edu/Vicete Noções de Estatística Podemos eteder a Estatística como sedo o método de estudo de comportameto coletivo, cujas coclusões são

Leia mais

Processamento Digital de Sinais Lista de Exercícios Suplementares 3-1 quad. 2012

Processamento Digital de Sinais Lista de Exercícios Suplementares 3-1 quad. 2012 Processameto Digital de Siais - Lista de Exercícios Suplemetares 3- Marcio Eisecraft abril 01 Processameto Digital de Siais Lista de Exercícios Suplemetares 3-1 quad 01 1 (1041) [OPPENHEIM, p 603] Supoha

Leia mais

Ordenação por Troca. Bubblesort Quicksort

Ordenação por Troca. Bubblesort Quicksort Ordeação por roca Bubblesort Quicksort ORDENAÇÃO Ordear é o processo de orgaizar uma lista de iformações similares em ordem crescete ou decrescete. Especificamete, dada uma lista de ites r[0], r[], r[2],...,

Leia mais

Virgílio Mendonça da Costa e Silva

Virgílio Mendonça da Costa e Silva UNIVERSIDADE FEDERAL DA PARAÍBA CENTRO DE TECNOLOGIA DEPARTAMENTO DE ENGENHARIA MECÂNICA VIBRAÇÕES DOS SISTEMAS MECÂNICOS VIBRAÇÕES LIVRES COM AMORTECIMENTO DE SISTEMAS DE GL NOTAS DE AULAS Virgílio Medoça

Leia mais

Parte I - Projecto de Sistemas Digitais

Parte I - Projecto de Sistemas Digitais Parte I - Projecto de Sistemas Digitais Na disciplia de sistemas digitais foram estudadas técicas de desevolvimeto de circuitos digitais ao ível da porta lógica, ou seja, os circuito digitais projectados,

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA OLIMPÍADA REGIONAL DE MATEMÁTICA PET MATEMÁTICA. Gabarito da Prova 2 a fase de 2008 Nível 3

UNIVERSIDADE FEDERAL DE SANTA CATARINA OLIMPÍADA REGIONAL DE MATEMÁTICA PET MATEMÁTICA. Gabarito da Prova 2 a fase de 2008 Nível 3 UNIVERSIDADE FEDERAL DE SANTA CATARINA XI OLIMPÍADA REGIONAL DE MATEMÁTICA PET MATEMÁTICA OLIMPÍADA REGIONAL DE MATEMÁTICA SANTA CATARINA - UFSC Gabarito da Prova a fase de 008 Nível 3. Seja N a a a a

Leia mais

MAC122 Princípios de Desenvolvimento de Algoritmos - BM,BMA

MAC122 Princípios de Desenvolvimento de Algoritmos - BM,BMA DCC IME USP Routo Terada Sala 208-C Tel.: 09 598 e-mail rt@ime.usp.br MAC22 Pricípios de Desevolvimeto de Algoritmos - BM,BMA Segudo Semestre de 20 Exercício-Programa, Peso Observações Data de etrega:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Variáveis Aleatórias e Distribuições de Probabilidade

Variáveis Aleatórias e Distribuições de Probabilidade PROBABILIDADES Variáveis Aleatórias e Distribuições de Probabilidade BERTOLO Fução de Probabilidades Vamos cosiderar um experimeto E que cosiste o laçameto de um dado hoesto. Seja a variável aleatória

Leia mais

DFS Série Discreta de Fourier DFT Transformada Discreta de Fourier Convolução Circular

DFS Série Discreta de Fourier DFT Transformada Discreta de Fourier Convolução Circular Sistemas de Processameto Digital Egeharia de Sistemas e Iformática Ficha 4 5/6 4º Ao/ º Semestre DFS Série Discreta de Fourier DFT Trasformada Discreta de Fourier Covolução Circular Para calcular a DFT,

Leia mais

Estudando complexidade de algoritmos

Estudando complexidade de algoritmos Estudado complexidade de algoritmos Dailo de Oliveira Domigos wwwdadomicombr Notas de aula de Estrutura de Dados e Aálise de Algoritmos (Professor Adré Bala, mestrado UFABC) Durate os estudos de complexidade

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ Campus Pato Branco ENGENHARIA DE COMPUTAÇÃO. Prova Parcial 1 Matemática Discreta para Computação 2011

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ Campus Pato Branco ENGENHARIA DE COMPUTAÇÃO. Prova Parcial 1 Matemática Discreta para Computação 2011 Campus Pato Braco Prova Parcial Matemática Discreta para Computação 20 Aluo(a): Data: 08/04/20. (,5p) Explicar o Paradoxo de Cator. Use como base o seguite: Teorema de Cator: Para qualquer cojuto A, a

Leia mais

ESCOLA BÁSICA DE ALFORNELOS

ESCOLA BÁSICA DE ALFORNELOS ESCOLA BÁSICA DE ALFORNELOS FICHA DE TRABALHO DE MATEMÁTICA 9.º ANO VALORES APROXIMADOS DE NÚMEROS REAIS Dado um úmero xe um úmero positivo r, um úmero x como uma aproximação de x com erro iferior a r

Leia mais

Introdução a Complexidade de Algoritmos

Introdução a Complexidade de Algoritmos Itrodução a Complexidade de Algoritmos Estruturas de Dados Prof. Vilso Heck Juior Apresetação Revisão - O Algoritmo; A Complexidade; Exercício. Complexidade de Algoritmos REVISÃO - O ALGORITMO O Algoritmo

Leia mais

CIRCUITOS SEQUÊNCIAIS

CIRCUITOS SEQUÊNCIAIS Coelh ho, J.P. @ Sistem mas Digita ais : Y20 07/08 CIRCUITOS SEQUÊNCIAIS O que é um circuito it sequêcial? Difereça etre circuito combiatório e sequecial... O elemeto básico e fudametal da lógica sequecial

Leia mais

Universidade São Judas Tadeu Faculdade de Tecnologia e Ciências Exatas Laboratório de Física e Química

Universidade São Judas Tadeu Faculdade de Tecnologia e Ciências Exatas Laboratório de Física e Química Uiversidade São Judas Tadeu Faculdade de Tecologia e Ciêcias Exatas Laboratório de Física e Química Aálise de Medidas Físicas Quado fazemos uma medida, determiamos um úmero para caracterizar uma gradeza

Leia mais

O termo "linear" significa que todas as funções definidas no modelo matemático que descreve o problema devem ser lineares, isto é, se f( x1,x2

O termo linear significa que todas as funções definidas no modelo matemático que descreve o problema devem ser lineares, isto é, se f( x1,x2 MÓDULO 4 - PROBLEMAS DE TRANSPORTE Baseado em Novaes, Atôio Galvão, Métodos de Otimização: aplicações aos trasportes. Edgar Blücher, São Paulo, 978..CONCEITOS BÁSICOS DE PROGRAMAÇÃO LINEAR É uma técica

Leia mais

SINAIS E SISTEMAS DE TEMPO DISCRETO

SINAIS E SISTEMAS DE TEMPO DISCRETO SINAIS E SISTEMAS DE TEMPO DISCRETO SINAIS DE TEMPO DISCRETO Fução de uma variável idepedete iteira. Não é defiido em istates etre duas amostras sucessivas. É icorreto pesar que é igual a zero se ão é

Leia mais

08/10/2018. Banco de Dados. Gerenciamento de Arquivos. Gerenciamento de Arquivos Sistema Gerenciador de Banco de Dados Modelos de Dados

08/10/2018. Banco de Dados. Gerenciamento de Arquivos. Gerenciamento de Arquivos Sistema Gerenciador de Banco de Dados Modelos de Dados Baco de Dados Gereciameto de Arquivos Sistema Gereciador de Baco de Dados Modelos de Dados Gereciameto de Arquivos Gereciameto de Arquivos 1 Gereciameto de Arquivos Em uma empresa existem 3 departametos:

Leia mais

Ordenação e Busca em Arquivos

Ordenação e Busca em Arquivos Ordeação e Busca em Arquivos Cristia D. A. Ciferri Thiago A. S. Pardo Leadro C. Citra M.C.F. de Oliveira Moacir Poti Jr. Ordeação Facilita a busca Pode ajudar a dimiuir o úmero de acessos a disco Busca

Leia mais

Modelagem para o tempo de atravessamento e inventário médio em arranjos produtivos por processo

Modelagem para o tempo de atravessamento e inventário médio em arranjos produtivos por processo Modelagem para o tempo de atravessameto e ivetário médio em arrajos produtivos por processo Everto Peter Satos da Rosa (UNISINOS) everto.rosa@areva-td.com Felipe Morais Meezes (UNISINOS) meezes@produttare.com.br

Leia mais

CT-234. Estruturas de Dados, Análise de Algoritmos e Complexidade Estrutural. Carlos Alberto Alonso Sanches

CT-234. Estruturas de Dados, Análise de Algoritmos e Complexidade Estrutural. Carlos Alberto Alonso Sanches CT-234 Estruturas de Dados, Aálise de Algoritmos e Complexidade Estrutural Carlos Alberto Aloso Saches CT-234 4) Árvores balaceadas AVL, Rubro-Negras, B-Trees Operações em árvores biárias de busca Numa

Leia mais

Introdução. Objetivo da Ciência da Computação. Regra Geral. Nenhuma implementação excelente salva um Algoritmo inadequado.

Introdução. Objetivo da Ciência da Computação. Regra Geral. Nenhuma implementação excelente salva um Algoritmo inadequado. Itrodução Problema Algoritmo Implemetação Problema Uiversidade Federal de Ouro Preto Departameto de Computação Algoritmo 1 Algoritmo Algoritmo Projeto e Aálise de Algoritmos - I Implemetação C Implemetação

Leia mais

Processamento Digital de Sinais. Notas de Aula. Série e Transformada Discreta de Fourier DFS / DFT. Transformadas para sinais de tempo discreto

Processamento Digital de Sinais. Notas de Aula. Série e Transformada Discreta de Fourier DFS / DFT. Transformadas para sinais de tempo discreto Trasformada Discreta de Fourier Trasformada Discreta de Fourier Trasformadas para siais de tempo discreto Processameto Digital de Siais DTFT: X(e jω ) = x[]e jω = É uma trasformada da variável cotíua ω

Leia mais

Agenda Camada Física

Agenda Camada Física Ifraestrutura de Redes de Computadores Turma : TMS 20171.3.01112.1M Camada Física Parte I Prof. Thiago Dutra Ageda Camada Física Itrodução Técicas de Trasmissão de Dados Meios

Leia mais

1.1. Ordem e Precedência dos Cálculos 1) = Capítulo 1

1.1. Ordem e Precedência dos Cálculos 1) = Capítulo 1 Capítulo. Aritmética e Expressões Algébricas O estudo de cálculo exige muito mais que o cohecimeto de limite, derivada e itegral. Para que o apredizado seja satisfatório o domíio de tópicos de aritmética

Leia mais

Lista 2 - Introdução à Probabilidade e Estatística

Lista 2 - Introdução à Probabilidade e Estatística Lista - Itrodução à Probabilidade e Estatística Modelo Probabilístico 1 Uma ura cotém 3 bolas, uma vermelha, uma verde e uma azul. a) Cosidere o seguite experimeto. Retire uma bola da ura, devolva-a e

Leia mais

Fontes Bibliográficas. Estruturas de Dados Aula 14: Recursão. Introdução. Introdução (cont.)

Fontes Bibliográficas. Estruturas de Dados Aula 14: Recursão. Introdução. Introdução (cont.) Fotes Bibliográficas Estruturas de Dados Aula 14: Recursão Livros: Projeto de Algoritmos (Nivio Ziviai): Capítulo 2; Estruturas de Dados e seus Algoritmos (Szwarefiter, et. al): Capítulo 1; Algorithms

Leia mais

Processamento Digital de Sinais. Notas de Aula. Série e Transformada Discreta de Fourier DFS / DFT. Transformadas para sinais de tempo discreto

Processamento Digital de Sinais. Notas de Aula. Série e Transformada Discreta de Fourier DFS / DFT. Transformadas para sinais de tempo discreto Série e Trasformada Discreta de Fourier Série e Trasformada Discreta de Fourier Trasformadas para siais de tempo discreto Processameto Digital de Siais DTFT: X(e jω ) = x[]e jω = É uma trasformada da variável

Leia mais

PROVA DE MATEMÁTICA DA UNIFESP VESTIBULAR 2011 RESOLUÇÃO: Profa. Maria Antônia Gouveia.

PROVA DE MATEMÁTICA DA UNIFESP VESTIBULAR 2011 RESOLUÇÃO: Profa. Maria Antônia Gouveia. PROVA DE MATEMÁTICA DA UNIFESP VESTIBULAR 0 Profa Maria Atôia Gouveia 6 A figura represeta um cabo de aço preso as etremidades de duas hastes de mesma altura h em relação a uma plataforma horizotal A represetação

Leia mais

CPV O cursinho que mais aprova na fgv

CPV O cursinho que mais aprova na fgv CPV O cursiho que mais aprova a fgv FGV ecoomia a Fase 0/dezembro/0 MATEMÁTICA 0. Chamaremos de S() a soma dos algarismos do úmero iteiro positivo, e de P() o produto dos algarismos de. Por exemplo, se

Leia mais

O Modelo OSI. O Modelo OSI. As Camadas do Modelo. As Camadas do Modelo (cont.)

O Modelo OSI. O Modelo OSI. As Camadas do Modelo. As Camadas do Modelo (cont.) 1 O Modelo OSI Eduardo Max A. Amaral Aula 3 O Modelo OSI Framework cocebido pela ISO para a defiição de padrões, visado a itercoexão de sistemas heterogêeos (idepedêcia de fabricate, sistema operacioal

Leia mais

PTC 2549 SISTEMAS TELEFÔNICOS

PTC 2549 SISTEMAS TELEFÔNICOS PTC 9 SISTMS TLFÔICOS GBRITO D PRIMIR LIST D RCÍCIOS /3/ Questão ) s ecessidades de comuicação etre duas localidades e B são de. e 3. chamadas por dia, para os setidos B e B respectivamete, com uma duração

Leia mais

Métodos Quantitativos para Ciência da Computação Experimental Aula #4

Métodos Quantitativos para Ciência da Computação Experimental Aula #4 Métodos Quatitativos para Ciêcia da Computação Experimetal Aula #4 Jussara Almeida DCC-UFMG 2017 Measuremets are ot to provide umbers, but isights Metodologia de Comparação de Sistemas Experimetais Comparado

Leia mais

Critérios de Avaliação e Cotação

Critérios de Avaliação e Cotação Elemetos de Probabilidades e Estatística (37) Elemetos de Probabilidades e Estatística (37) Ao letivo 06-7 E-Fólio A 7 a 6 de abril 07 Critérios de correção e orietações de resposta No presete relatório

Leia mais

Introdução. Objetivo da Ciência da Computação. Regra Geral. Problema Algoritmo Implementação. Projeto e Análise de. Algoritmo 3

Introdução. Objetivo da Ciência da Computação. Regra Geral. Problema Algoritmo Implementação. Projeto e Análise de. Algoritmo 3 Itrodução Problema Algoritmo Implemetação Problema Uiversidade Federal de Ouro Preto Departameto de Computação Algoritmo 1 Algoritmo 2 Algoritmo 3 Projeto e Aálise de Algoritmos - I Implemetação C Implemetação

Leia mais

Projeto Sistemas Digitais

Projeto Sistemas Digitais Projeto Sistemas Digitais Projeto de Sistemas Digitais: modelagem do algoritmo por fluxograma Implementações para Parte de Controle e Parte Operativa Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima

Leia mais

Experimento 1 Estudo da Lei de Hooke

Experimento 1 Estudo da Lei de Hooke Experimeto 1 Estudo da Lei de Hooke 1.1 Objetivos Físicos Verificação experimetal da lei de Hooke para uma mola helicoidal: Medida experimetal do módulo de rigidez do material μ. 1. Objetivos Didáticos

Leia mais

CONCEITOS DE VIBRAÇÃO

CONCEITOS DE VIBRAÇÃO CONCEITOS DE VIBRAÇÃO Paulo S. Varoto 55 3.1 - Itrodução O objetivo pricipal desta secção é o de apresetar coceitos básicos da teoria de vibrações bem como iterpretá-los sob o poto de vista dos esaios

Leia mais

1 Formulário Seqüências e Séries

1 Formulário Seqüências e Séries Formulário Seqüêcias e Séries Difereça etre Seqüêcia e Série Uma seqüêcia é uma lista ordeada de úmeros. Uma série é uma soma iita dos termos de uma seqüêcia. As somas parciais de uma série também formam

Leia mais

CARACTERIZAÇÃO DO CONJUNTO EQUILIBRADOR PARA GRAFOS COM GAP NULO

CARACTERIZAÇÃO DO CONJUNTO EQUILIBRADOR PARA GRAFOS COM GAP NULO CARACTERIZAÇÃO DO CONJUNTO EQUILIBRADOR PARA GRAFOS COM GAP NULO Maximiliao Pito Damas Programa de Egeharia de Produção Uiversidade Federal do Rio de Jaeiro e-mail: maxdamas@hotmailcom Lilia Markezo Núcleo

Leia mais

Fundamentos de Análise Matemática Profª Ana Paula. Números reais

Fundamentos de Análise Matemática Profª Ana Paula. Números reais Fudametos de Aálise Matemática Profª Aa Paula Números reais 1,, 3, cojuto dos úmeros aturais 0,1,,3, cojuto dos úmeros iteiros p q /p e q cojuto dos úmeros racioais a, a 0 a 1 a a, a e a i 0, 1,, 3, 4,

Leia mais

Virgílio A. F. Almeida DCC-UFMG 1/2005

Virgílio A. F. Almeida DCC-UFMG 1/2005 Virgílio A. F. Almeida DCC-UFMG 1/005 !" # Comparado quatitativamete sistemas eperimetais: Algoritmos, protótipos, modelos, etc Sigificado de uma amostra Itervalos de cofiaça Tomado decisões e comparado

Leia mais

Números primos, números compostos e o Teorema Fundamental da Aritmética

Números primos, números compostos e o Teorema Fundamental da Aritmética Polos Olímpicos de Treiameto Curso de Teoria dos Números - Nível 3 Carlos Gustavo Moreira Aula 4 Números primos, úmeros compostos e o Teorema Fudametal da Aritmética 1 O Teorema Fudametal da Aritmética

Leia mais

Matemática Aplicada. Uma solução: Sejam x e y as quantidades de melancias e melões no início da manhã. No final da manhã as quantidades eram

Matemática Aplicada. Uma solução: Sejam x e y as quantidades de melancias e melões no início da manhã. No final da manhã as quantidades eram Matemática Aplicada 1 Maoel vede melacias e melões em sua barraca o mercado de frutas. Certo dia, iiciou seu trabalho com a barraca cheia de frutas e, durate a mahã, vedeu 1 melacias e 16 melões. Maoel

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES Circuitos Seqüenciais Alexandre Amory Edson Moreno Nas Aulas Anteriores 2 A algumas aulas: Arquitetura da Cleo A duas aulas: Como descrever a parte operativa da

Leia mais

Cap. VI Histogramas e Curvas de Distribuição

Cap. VI Histogramas e Curvas de Distribuição TLF /11 Capítulo VI Histogramas e curvas de distribuição 6.1. Distribuições e histogramas. 6 6.. Distribuição limite 63 6.3. Sigificado da distribuição limite: frequêcia esperada e probabilidade de um

Leia mais

Prova Escrita de MATEMÁTICA A - 12o Ano a Fase

Prova Escrita de MATEMÁTICA A - 12o Ano a Fase Prova Escrita de MATEMÁTICA A - 1o Ao 00 - a Fase Proposta de resolução GRUPO I 1. Como a probabilidade do João acertar em cada tetativa é 0,, a probabilidade do João acertar as tetativas é 0, 0, 0, 0,

Leia mais

CINÉTICA QUÍMICA FATORES DE INFLUÊNCIA - TEORIA

CINÉTICA QUÍMICA FATORES DE INFLUÊNCIA - TEORIA Itrodução CINÉTICA QUÍMICA FATORES DE INFLUÊNCIA - TEORIA A Ciética Química estuda a velocidade com a qual as reações acotecem e os fatores que são capazes de realizar ifluêcia sobre ela. A medida mais

Leia mais

Processamento Digital de Sinais. Notas de Aula. Transformada Discreta de Fourier DFT. Transformada Discreta de Fourier - DFT.

Processamento Digital de Sinais. Notas de Aula. Transformada Discreta de Fourier DFT. Transformada Discreta de Fourier - DFT. Trasformada Discreta de Fourier Trasformada Discreta de Fourier Trasformada Discreta de Fourier - DFT Processameto Digital de Siais otas de Aula DTFT: X(e jω ) = x[]e jω = É uma trasformada da variável

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 12.º Ano Versão 2

FICHA de AVALIAÇÃO de MATEMÁTICA A 12.º Ano Versão 2 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Ao Versão Nome: N.º Turma: Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar e todas as justificações ecessárias. Quado, para

Leia mais

Bombas industriais. 1 Torr = 1 mmhg. Bombas industriais

Bombas industriais. 1 Torr = 1 mmhg. Bombas industriais Codições (especificações) de carga: Para água ao ível do mar 1 Torr = 1 mmhg Codições (especificações) de carga: Carga de Pressão (h p ) A carga de pressão é cosiderada quado um sistema de bombeameto começa,

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacioais Sistema de Arquivos Edeyso Adrade Gomes www.edeyso.com.br Sistema de Arquivos w Mecaismo que provê armazeameto e acesso a dados e programas do Sistema Operacioal e do usuário; w Aspecto

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano Versão 2

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano Versão 2 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Ao Versão Aluo: N.º Turma: Professor: Classificação: Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar e todas as justificações

Leia mais

Número-índice: Conceito, amostragem e construção de estimadores

Número-índice: Conceito, amostragem e construção de estimadores Número-ídice: Coceito, amostragem e costrução de estimadores Objetivo Geral da aula Defiir o que são os úmeros-ídices, efatizado a sua importâcia para aálise ecoômica. Cosidere os dados apresetados a Tabela

Leia mais

Vestibular de Verão Prova 3 Matemática

Vestibular de Verão Prova 3 Matemática Vestibular de Verão Prova N ọ DE ORDEM: NOME DO CANDIDATO: N ọ DE INSCRIÇÃO: INSTRUÇÕES PARA A REALIZAÇÃO DA PROVA. Cofira os campos N ọ DE ORDEM, N ọ DE INSCRIÇÃO e NOME, que costam a etiqueta fixada

Leia mais

Vestibular de Verão Prova 3 Matemática

Vestibular de Verão Prova 3 Matemática Vestibular de Verão Prova N ọ DE ORDEM: NOME DO CANDIDATO: N ọ DE INSCRIÇÃO: INSTRUÇÕES PARA A REALIZAÇÃO DA PROVA. Cofira os campos N ọ DE ORDEM, N ọ DE INSCRIÇÃO e NOME, que costam a etiqueta fixada

Leia mais

Vestibular de Verão Prova 3 Matemática

Vestibular de Verão Prova 3 Matemática Vestibular de Verão Prova N ọ DE ORDEM: NOME DO CANDIDATO: N ọ DE INSCRIÇÃO: INSTRUÇÕES PARA A REALIZAÇÃO DA PROVA. Cofira os campos N ọ DE ORDEM, N ọ DE INSCRIÇÃO e NOME, que costam a etiqueta fixada

Leia mais

Vestibular de Verão Prova 3 Matemática

Vestibular de Verão Prova 3 Matemática Vestibular de Verão Prova N ọ DE ORDEM: NOME DO CANDIDATO: N ọ DE INSCRIÇÃO: INSTRUÇÕES PARA A REALIZAÇÃO DA PROVA. Cofira os campos N ọ DE ORDEM, N ọ DE INSCRIÇÃO e NOME, que costam a etiqueta fixada

Leia mais

Linguagem Computacional. Estruturas de Controle: Estruturas de Decisão ou de Seleção. Prof. Dr. Adriano Cansian Prof. Dr. Leandro Alves Neves

Linguagem Computacional. Estruturas de Controle: Estruturas de Decisão ou de Seleção. Prof. Dr. Adriano Cansian Prof. Dr. Leandro Alves Neves 1 Algoritmos e Programação Liguagem Computacioal Estruturas de Cotrole: Estruturas de Decisão ou de Seleção Prof. Dr. Adriao Casia Prof. Dr. Leadro Alves Neves O que veremos: Estruturas de Cotrole de Fluxo

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano Versão 5

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano Versão 5 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Ao Versão 5 Nome: N.º Turma: Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar e todas as justificações ecessárias. Quado, para

Leia mais

Novo Espaço Matemática A 12.º ano Proposta de Teste [outubro ]

Novo Espaço Matemática A 12.º ano Proposta de Teste [outubro ] Proposta de Teste [outubro - 017] Nome: Ao / Turma: N.º: Data: / / Não é permitido o uso de corretor. Deves riscar aquilo que pretedes que ão seja classificado. A prova iclui um formulário. As cotações

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 10.º Ano Versão 3

FICHA de AVALIAÇÃO de MATEMÁTICA A 10.º Ano Versão 3 FICHA de AVALIAÇÃO de MATEMÁTICA A 0.º Ao Versão Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar e todas as justificações ecessárias. Quado, para um resultado, ão

Leia mais

Controladores Digitais - IMC

Controladores Digitais - IMC Cotroladores Digitais - IMC ENGC42: Cotrole I Departameto de Egeharia Elétrica - DEE Uiversidade Federal da Bahia - UFBA 18 de maio de 2016 Prof. Tito Luís Maia Satos 1/ 21 Sumário 1 Itroção 2 Revisão

Leia mais

E X A M E ª FASE, V E R S Ã O 1 P R O P O S T A D E R E S O L U Ç Ã O

E X A M E ª FASE, V E R S Ã O 1 P R O P O S T A D E R E S O L U Ç Ã O Preparar o Eame 0 Matemática A E X A M E 0 4 ª FASE, V E R S Ã O P R O P O S T A D E R E S O L U Ç Ã O GRUPO I ITENS DE ESOLHA MÚLTIPLA Tem-se que A e B são idepedetes, portato, P A B P A PB Assim: 0,48

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 12.º Ano Versão 1

FICHA de AVALIAÇÃO de MATEMÁTICA A 12.º Ano Versão 1 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Ao Versão Nome: N.º Turma: Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar e todas as justificações ecessárias. Quado, para

Leia mais

1 a Lista de Exercícios

1 a Lista de Exercícios Observações gerais: Universidade Federal de Santa atarina epartamento de Informática e Estatística Bacharelado em iências da omputação INE 546 - Sistemas igitais - semestre 2/2 Prof. José Luís Güntzel

Leia mais

Prova Banco do Brasil 2012 CESGRANRIO /

Prova Banco do Brasil 2012 CESGRANRIO / MATEMÁTICA (QUESTÕES 11 A 0) (Questão 11) No Brasil, quase toda a produção de latas de alumíio é reciclada. As empresas de reciclagem pagam R$ 30,00 por 100 kg de latas usadas, sedo que um quilograma correspode

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano de escolaridade Versão 4

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano de escolaridade Versão 4 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Teste.º Ao de escolaridade Versão 4 Nome: N.º Turma: Professor: José Tioco //8 Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar

Leia mais

ESTATÍSTICA- II DISTRIBUIÇÃO DE FREQUÊNCIA. 1- CONCEITO É a série estatística que tem o tempo, o espaço e a espécie como variáveis dependentes.

ESTATÍSTICA- II DISTRIBUIÇÃO DE FREQUÊNCIA. 1- CONCEITO É a série estatística que tem o tempo, o espaço e a espécie como variáveis dependentes. ESTATÍSTICA- II DISTRIBUIÇÃO DE FREQUÊNCIA 1- CONCEITO É a série estatística que tem o tempo, o espaço e a espécie como variáveis depedetes. - DISTRIBUIÇÃO DE FREQUÊNCIA a) Dados Brutos É um cojuto resultate

Leia mais

Elementos de Análise - Verão 2001

Elementos de Análise - Verão 2001 Elemetos de Aálise - Verão 00 Lista Thomas Robert Malthus, 766-834, foi professor de Ecoomia Política em East Idia College e em seu trabalho trouxe à luz os estudos sobre diâmica populacioal. Um de seus

Leia mais

CODIFICAÇÃO DE CANAL PARA SISTEMAS DE COMUNICAÇÃO DIGITAL

CODIFICAÇÃO DE CANAL PARA SISTEMAS DE COMUNICAÇÃO DIGITAL CODIFICAÇÃO DE CANAL PARA SISTEMAS DE COMUNICAÇÃO DIGITAL CÓDIGOS CÍCLICOS Eelio M. G. Ferádez - Códios Cíclicos: Defiição Um códio de bloco liear é um códio cíclico se cada deslocameto cíclico das palaras-códio

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano de escolaridade Versão 3

FICHA de AVALIAÇÃO de MATEMÁTICA A 11.º Ano de escolaridade Versão 3 FICHA de AVALIAÇÃO de MATEMÁTICA A.º Teste.º Ao de escolaridade Versão Nome: N.º Turma: Professor: José Tioco //8 Apresete o seu raciocíio de forma clara, idicado todos os cálculos que tiver de efetuar

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 11 CONVERSÃO A/D E D/A e MEMÓRIAS DIGITAIS Em 17 de juho de

Leia mais

FILAS PARALELAS COM SERVIDORES HETEROGÊNEOS E JOCKEYING PROBABILÍSTICO

FILAS PARALELAS COM SERVIDORES HETEROGÊNEOS E JOCKEYING PROBABILÍSTICO CAÍTULO FILAS ARALELAS COM SERVIDORES HETEROGÊNEOS E JOCKEYING ROBABILÍSTICO Nesse capítulo mostraremos a ovidade desse trabalho que é a obteção das equações de balaço de um sistema de filas paralelas

Leia mais

Exame Final Nacional de Matemática Aplicada às Ciências Sociais a Fase

Exame Final Nacional de Matemática Aplicada às Ciências Sociais a Fase Exame Fial Nacioal de Matemática Aplicada às Ciêcias Sociais 04 -. a Fase Proposta de resolução... Aplicado o método de Hodt a distribuição dos madatos, temos: Partido A B C D E Número de votos 4 4 Divisão

Leia mais