SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO

Tamanho: px
Começar a partir da página:

Download "SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO"

Transcrição

1 TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO Identificação dos Alunos: Nome: Diogo Morgado Número: Nome: Valter Piedade Número: Turno de Laboratório: SD4517L05 Grupo: 77 Sala do Laboratório: LSD1 Hora: 12h30 14h00 Nome do Docente: Aleksandar Ilic

2 1. Introdução O objetivo deste quarto trabalho laboratorial de Sistemas Digitais é analisar e projectar um circuito de processamento de dados constituído por elementos básicos de memória (registos) e módulos combinatórios, através da análise minunciosa de cada um dos elementos constituíntes deste circuito de processamento de dados. O circuito servirá para realizar o ajuste da inclinação de um painel fotovoltaico, pelo que consistirá num sistema de controlo que lê dados provenientes de um sensor de luz, que os processa e que atua sobre o motor de inclinação de modo a otimizar a absorção de energia fornecida ao painel pela luz solar. 2. Projeto do circuito Pergunta 1: Figura 1 Logigrama da parte da ALU que realiza as operações lógicas. A simulação do funcionamento da ALU encontra-se na figura 4 da secção 3, juntamente com um comentário acerca do resultado obtido. Pergunta 2: Figura 2 Logigrama do circuito CTR_FF. Inicialmente, o MUX 2:1 irá selecionar o cnt_in se o cnt_ld for 0. Isto quer dizer que irá ocorrer um Count que irá fazer a contagem tendo em conta o valor anterior. Se o cnt_ld for 1, irá ocorrer um Load que irá carregar o valor do d_in. De seguida o valor m que sai do MUX, irá realizar a operação lógica AND2 com o sinal de reset. Isto irá fazer com que sempre que o sinal reset seja 0, o q_out também seja 0 e com que sempre que seja 1 o q_out seja o valor de m. No final, o sinal a, que corresponde à saída da AND2, irá entrar num Flip-Flop D. Este componente, como tem o seu enable constantemente a 1, irá transportar para a saída o valor de a apenas quando ocorrer o flanco ascendente do clock. 2

3 Pergunta 3: Figura 3 Diagrama temporal tendo em conta tempos de propagação de 20ns para os elementos de memória, de 0ns para os restantes componentes e de 60ns para o período de relógio. Pergunta 4: A simulação completa deste circuito encontra-se representada na figura 5, presente na secção 3 deste relatório, tal como a sua comparação com o resultado esperado, que está representado na figura 3. A forma de todos os sinais de controlo, depende dos valores de I(3:0), tal como é indicado na tabela 4 do guia de laboratório. Os valores do I(3:0), por sua vez dependem dos valores do CNTR_dout(3:0), que correspondem à saída do contador. Deste modo, quando o I(3:0)= 0000, o RW_R0 será 0 e os sinais RW_TR, OP(1) e OP(0) serão 1. Se I(3:0)= 0001, o RW_R0 e o OP(0) serão 0 e o RW_TR será 1 assim como o OP(1). Para os restantes valores de 4 bits, o RW_RO e o RW_TR são 0 e os valores OP(1) e OP(0) poderão ser 0 ou 1, pois o resultado que a ALU realizar não será escrito em nenhum dos registos. A forma do sinal ALU_dout, está relacionada com a forma dos sinais de controlo OP(1) e OP(0). Assim, o ALU_dout dependerá da operação realizada na ALU, que por sua vez depende dos valores de OP(1) e OP(0), tal como é indicado na tabela 2 do guia de laboratório. Sempre que a forma destes sinais de controlo for alterada, também a forma da ALU_dout se alterará por ser realizada uma nova operação. No entanto, a alteração do valor do INSERT também alterou a forma deste sinal pois mesmo sendo realizada a mesma operação em dois períodos de relógio consecutivos, a variação do INSERT alterou uma das entradas da ALU, alterando assim o seu resultado, que corresponde à ALU_dout. Na representação dos sinais RW_RO e RW_TR, apenas está presente uma única linha pois como se tratam de sinais com apenas 1 bit, só podem ter duas representações, ou 1 (linha superior) ou 0 (linha inferior). Os sinais ALU_dout, OP(1) e OP(0), por sua vez, como se tratam de barramentos, possuem na sua representação ambas as linhas preenchidas por serem sinais com mais do que 1 bit, tendo por isso mais do que duas representações, sendo o seu valor escrito entre essas linhas. 3

4 3. Diagrama temporal e simulação do circuito Através da análise da simulação do funcionamento da ALU (Figura 4), podemos concluir que o seu valor final depende da operação realizada, que é selecionada pelos sinais OP(1) e OP(0). Foi nos possível tirar esta conclusão pois os valores de X e Y são constantes durante toda a simulação, enquanto que os valores da saída (d_out) vão alterando consoante os valores de OP(1:0) também alteram. As operações realizadas estão presentes na tabela 2 do guia de laboratório. Figura 4 Simulação do funcionamento da ALU. A simulação completa do circuito, que está representada na figura 5, corresponde ao esperado, tal como se pode constatar por comparação ao diagrama temporal apresentado anteriormente na figura 3. Este circuito, tem como função a realização de duas instruções, I1 e I2. A primeira corresponde a um shift left (X<<1) ao valor obtido pelo sensor, que será guardado no registo TR, enquanto que a segunda corresponde a uma adição desse valor com o inicial (X+Y). O resultado final será três vezes o resultado inicial. Assim, inicialmente, o INSERT possui o valor 1 para que o valor obtido pelo sensor possa entrar no circuito. A partir desse momento o INSERT toma sempre o valor 0 (até ao final de todas as operações) para que os resultados das operações que estão a ser realizadas não sejam perdidos. O contador, servirá para sequencializar as operações que serão efetuadas e o controlador para as indicar aos registos e à ALU. Deste modo, quando as saídas do controlador forem 0000, será realizado um shift left do valor inicial, que será guardado no registo TR. De seguida, quando as saídas do controlador forem 0001, será realizada a adição do resultado do shift left com o valor inicial, que será guardado no registo R0 e que dará o resultado final pretendido de três vezes o valor inicial. Podem-se observar mais detalhadamente estas operações na tabela de controlo (tabela 4) presente no guia de laboratório. Figura 5 Simulação completa do circuito. 4

5 4. Implementação do circuito Pergunta 5: Neste circuito, o sinal de INIT encontra-se ligado ao reset e ao enable do contador. O CNTR_rst, que é proveniente do INIT e se encontra ligado ao reset, encontra-se negado duas vezes, a primeira por uma NOT e a segunda à entrada do contador. Quando o INIT se encontra a 0, o reset também estará a 0, pelo que irá ocorrer ou um Load ou um Count consoante o valor do INSERT. Por outro lado, se o INIT estiver a 1, o reset também estará a 1, o que irá fazer com que o contador fique com todas as suas saídas a 0. Deste modo, variar o valor do INIT entre 0 1 0, irá fazer com que o contador recomece a contagem. Este recomeço de contagem irá implicar que a ALU realize a operação X<<1, que apenas seja realizada a operação de leitura por parte registo R0 e que esse valor da operação realizada na ALU seja escrito no registo TR. Pergunta 6: K0 : 84032/4 = digito menos significativo = 0 K0 = 0 K1 : 84195/4 = digito menos significativo = 0.75*4 = 3 K1 = 3 N0 = K0 + 1 = 1 N1 = K1 + 6 = 9 Para o contador começar a contagem em 1 e parar quando chegar a 9, é necessário alterar o valor do CNTR_din (3:0) de 0000 para Deste modo, quando ocorrer o Load, a contagem irá recomeçar, mas a partir de 1. Para a contagem parar quando chegar a 9, é necessário retirar a ligação CNTR_ct15, e substitui-la por uma ligação que carregue o valor da operação NAND2 entre CNTR_dout (2) e CNTR_dout (1) e de uma AND3 entre o CNTR_dout (0), o CNTR_dout (3) e o valor obtido da NAND2. Esta ligação irá ser ligada na mesma posição onde se encontrava o CNTR_ct15. Estas operações irão proporcionar que assim que se chegue ao valor 1001, que corresponde ao número 9, a ligação possua o valor 1, que irá colocar o enable a 0 pois este é o resultado de uma OR3 entre a negação desta nova ligação, do sinal de INIT e do sinal de INSERT (estes dois últimos encontram-se a 0 ). O enable a 0 irá fazer parar o contador. Pergunta 7: De modo a modificar o funcionamento da ALU para realizar as operações pretendidas, verificámos que quando o OP(2)= 0, são realizadas operações que são implementadas com recurso a um somador. No entanto, o somador não é suficiente, pelo que foi necessário ligar, na segunda entrada do somador uma AND2. A essa AND2 estava ligada uma XOR entre o Y e o OP(1) e na outra entrada da AND2 estava ligado o OP(0). A primeira entrada do somador está ligada ao X e o Carry In ao OP(1). Assim sendo, quando OP(1:0)= 00 será realizada uma transferência, quando OP(1:0)= 01 será realizada uma soma, quando OP(1:0)= 10 será realizada um incremento e quando OP(1:0)= 11 será realizada uma subtração. No caso de OP(2)= 1, verificámos que são realizadas operações que não têm muito em 5

6 comum pelo que utilizámos um multiplexer 4:2. Nos seus bits de seleção colocámos o OP(0) como o bit menos significativo e o OP(1) como o bit mais significativo, e nas suas entradas colocámos uma NAND2 entre o X e o Y (entrada 0), uma NOR entre o X e o Y (entrada 1), um shift left sobre o X (entrada 2) e um shift right sobre o X (entrada 3). Assim quando OP(1:0)= 00 será realizada a NAND2, quando OP(1:0)= 01 será realizada a NOR2, quando OP(1:0)= 10 será realizado um shift left e quando OP(1:0)= 11 será realizado um shift right. Finalmente, colocámos um multiplexer 2:1 com o valor proveniente do somador na entrada 0 e com o valor proveniente do multiplexer 4:1 na entrada 1, e com o OP(2) como bit de seleção. Assim, quando OP(2)= 0 será selecionado o valor proveniente do somador, e quando OP(2)= 1 será selecionado o valor proveniente do multiplexer 4:1. O logigrama deste circuito encontra-se representado na figura 6. Figura 6 Logigrama da ALU modificada. Pergunta 8: A descrição da ALU da pergunta 7 em VHDL encontra-se em anexo no final do relatório (ponto1), tal como o testbench (ponto 2), e a sua simulação encontra-se de seguida (figura 7). Figura 7 Simulação da ALU modificada. 6

7 Pergunta 9: R0 S*2 p P = K0 + 1 = 0+1 = 1 R0 2S Instrução ALU Operação Descrição Resultado Parcial I1 X<<1 (SLA) R0 R0<<1 R0 2S Tabela 1 Processo para realizar a operação R0 2S. RW_R0 RW_TR OP(2) OP(1) OP(0) Tabela 2 Valores dos sinais de controlo, de forma a implementar o funcionamento descrito na tabela 1. Pergunta 10: Operações a realizar: Operação 1 (OP1): TR R0 + TR; Operação 2 (OP2): R0 R0<<1. Operação RW_R0 RW_TR OP(2) OP(1) OP(0) ALU Operação OP X + Y OP X<<1 Tabela 3 Tabela de controlo que realiza as operações OP1 e OP2. 7

8 Pergunta 11: R0 (3S) 2p +1 3S 2 p = (K0 mod 2) + 1 = = 1 R0 (3S 2)+1 3S 2 I(3:0) RW_R0 RW_TR OP(2) OP(1) OP(0) ALU Operação Descrição Resultado Parcial X<<1 (SLA) TR R0<<1 TR 2S X + Y (ADD) R0 TR+1 R0 3S X TR R0 TR 3S X<<1 (SLA) R0 TR<<1 R0 3S* X + 1 (INC) R0 R0+1 R0 3S* X>>1 (SRA) R0 R0>>1 R0 (3S 2) X Y (SUB) R0 R0-TR R0 (3S 2)+1 3S X X X - NOP X X X - NOP X X X - NOP X X X - NOP X X X - NOP X X X - NOP X X X - NOP X X X - NOP X X X - NOP Tabela 4 Tabela de controlo com as operações necessárias para modificar a função realizada para Pergunta 12: R0 (3S 2)+1 3S. 2 Figura 8 Mapa de Karnaugh do sinal de controlo RW_R0. Figura 9 - Mapa de Karnaugh do sinal de controlo RW_TR. Figura 10 - Mapa de Karnaugh do sinal de controlo OP(2). Figura 11 - Mapa de Karnaugh do sinal de controlo OP(1). 8 Figura 12 - Mapa de Karnaugh do sinal de controlo OP(0).

9 RW_R0 I3 (I2I0 + I1 I0 + I2I0 RW_TR I3 I2 I1 ; OP(2) I2 I1 I0 + I0(I2 + I1 OP(1) I1 I0 + I3 I2 + I1I0; OP(0) I1 I0 + I2I1. Expressões simplificadas a partir dos mapas de Karnaugh de cada um dos sinais de controlo. Figura 13 Logigrama do novo controlador. A modificação realizada ao ficheiro controlador.vhd em VHDL encontra-se em anexo no final do relatório (ponto 3). Pergunta 13: A modificação realizada ao ficheiro lab4_circuito.vhd em VHDL encontra-se em anexo no final do relatório (ponto 4). Pergunta 14: Figura 14 Simulação completa do circuito modificado. 9

10 Comentários Referentes à implementação do circuito: Para implementar este circuito na placa de desenvolvimento Basys2, presente no laboratório, tivemos de criar um símbolo para o componente lab4_circuit, e de seguida verificar todas as suas ligações através do esquema sd.sch disponibilizado. Após a realização destas ligações, procedeu-se à geração de um ficheiro sd.bit, que foi utilizado para implementar o circuito na placa de desenvolvimento. Após ligarmos a placa de desenvolvimento, colocámos o SW0 a 1, o SW1 a 1, o SW2 a 0 e o SW3 a 1, que corresponde ao valor lido pelo sensor, e constatámos que os valores que eram apresentados na placa correspondiam aos resultados obtidos na simulação. Na figura 15, está presente o resultado obtido na placa desenvolvimento quando o cntr_dout tinha o valor 5. Figura 15 Resultado obtido na placa de desenvolvimento quando cntr_dout=5. 5. Conclusões Na realização desta atividade laboratorial, foram encontradas algumas dificuldades pelo grupo pois este trabalho era mais complexo em relação aos anteriores e por apresentar componentes novos que ainda não tinham sido utilizados. No entanto, consideramos que o grupo superou essas dificuldades conseguindo realizar todas as tarefas propostas e, acima de tudo, ficámos a compreender melhor o funcionamento de circuitos de processamento de dados. Quanto aos resultados obtidos nas simulações efetuadas, estes foram em todos os casos semelhantes aos esperados pelo grupo (alguns não à primeira tentativa devido a erros de escrita em VHDL), e os resultados obtidos na placa de desenvolvimento também foram os esperados. 10

11 Anexo: 1) VHDL da ALU Modificada Company: Engineer: Create Date: 15:04:07 11/05/2015 Design Name: Module Name: alu - Behavioral Project Name: Target Devices: Tool versions: Description: Dependencies: Revision: Revision File Created Additional Comments: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity alu is Port ( X : in STD_LOGIC_VECTOR (7 downto 0 Y : in STD_LOGIC_VECTOR (7 downto 0 op : in STD_LOGIC_VECTOR (2 downto 0 D_out : out STD_LOGIC_VECTOR (7 downto 0) end alu; architecture Behavioral of alu is COMPONENT somador_8bits PORT( P : IN std_logic_vector(7 downto 0 Q : IN std_logic_vector(7 downto 0 Cin : IN std_logic; S : OUT std_logic_vector(7 downto 0 Cout : OUT std_logic END COMPONENT; internal signals signal op0_tmp, q_tmp, arit_out : std_logic_vector(7 downto 0 signal lop0, lop1, logic_out : std_logic_vector(7 downto 0 signal op1_tmp, fio1, fio2 : std_logic_vector(7 downto 0 signal xor_tmp : std_logic_vector(7 downto 0 11

12 begin op0_tmp <= (others => op(0) op1_tmp <= (others => op(1) xor xor_tmp <= Y xor op1_tmp; and q_tmp <= xor_tmp and op0_tmp; somador 8-bits Inst_somador_8bits: somador_8bits PORT MAP( P => X, Q => q_tmp, Cin => op(1), S => arit_out, Cout => open nand lop0 <= X nand Y; nor lop1 <= X nor Y; shift left fio1 <= X(6 downto 0) & '0'; shift right fio2 <= '0' & X(7 downto 1 multiplexer logic_out <= lop0 when op(1 downto 0)="00" else lop1 when op(1 downto 0)="01" else fio1 when op(1 downto 0)="10" else fio2; mux 2:1 at the end D_out <= arit_out when op(2)='0' else logic_out; end Behavioral; 2) VHDL do Teste Bench da ALU Modificada Company: Engineer: Create Date: 15:18:21 11/05/2015 Design Name: Module Name: /home/user/sd/lab4/tb_alu.vhd Project Name: Lab4 Target Device: Tool versions: Description: VHDL Test Bench Created by ISE for module: alu 12

13 Dependencies: Revision: Revision File Created Additional Comments: Notes: This testbench has been automatically generated using types std_logic and std_logic_vector for the ports of the unit under test. Xilinx recommends that these types always be used for the top-level I/O of a design in order to guarantee that the testbench will bind correctly to the postimplementation simulation model. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY tb_alu IS END tb_alu; ARCHITECTURE behavior OF tb_alu IS Component Declaration for the Unit Under Test (UUT) COMPONENT alu PORT( X : IN std_logic_vector(7 downto 0 Y : IN std_logic_vector(7 downto 0 op : IN std_logic_vector(2 downto 0 D_out : OUT std_logic_vector(7 downto 0) END COMPONENT; BEGIN Inputs signal X : std_logic_vector(7 downto 0) := " "; signal Y : std_logic_vector(7 downto 0) := " "; signal op : std_logic_vector(2 downto 0 Outputs signal D_out : std_logic_vector(7 downto 0 Instantiate the Unit Under Test (UUT) uut: alu PORT MAP ( X => X, Y => Y, op => op, D_out => D_out Stimulus process 13

14 END; stim_proc: process begin op <= "000"; wait for 100 ns; op <= "001"; wait for 100 ns; op <= "010"; wait for 100 ns; op <= "011"; wait for 100 ns; op <= "100"; wait for 100 ns; op <= "101"; wait for 100 ns; op <= "110"; wait for 100 ns; op <= "111"; wait; end process; 3) VHDL do Controlador Modificado Company: Engineer: Create Date: 15:43:50 11/05/2015 Design Name: Module Name: controlador - Behavioral Project Name: Target Devices: Tool versions: Description: Dependencies: Revision: Revision File Created Additional Comments: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity controlador is 14

15 Port ( I : in STD_LOGIC_VECTOR (3 downto 0 RW_R0 : out STD_LOGIC; RW_TR : out STD_LOGIC; OP : out STD_LOGIC_VECTOR (2 downto 0) end controlador; architecture Behavioral of controlador is signal not0, not1, not2, not3 : std_logic; signal and1_ro, and2_ro, and3_ro, or1_ro : std_logic; signal and1_op2, and2_op2, or1_op2 : std_logic; signal and1_op1, and2_op1, and3_op1 : std_logic; signal and1_op0, and2_op0 : std_logic; SISTEMAS DIGITAIS begin not0 <= not I(0 not1 <= not I(1 not2 <= not I(2 not3 <= not I(3 RW_RO and1_ro <= not0 and I(2 and2_ro <= not1 and I(0 and3_ro <= not2 and I(0 or1_ro <= and1_ro or and2_ro or and3_ro; RW_R0 <= not3 and or1_ro; RW_TR RW_TR <= not3 and not2 and not0; OP2 and1_op2 <= not2 and not1 and not0; or1_op2 <= I(2) or I(1 and2_op2 <= I(0) and or1_op2; OP(2) <= and2_op2 or and1_op2; OP1 and1_op1 <= not1 and not0; and2_op1 <= not3 and I(2 and3_op1 <= I(1) and I(0 OP(1) <= and1_op1 or and2_op1 or and3_op1; OP0 and1_op0 <= not1 and I(0 and2_op0 <= I(2) and I(1 OP(0) <= and1_op0 or and2_op0; end Behavioral; 15

16 4) VHDL do Circuito Completo Modificado Company: Engineer: Create Date: 15:51:08 11/05/2015 Design Name: Module Name: lab4_circuit - Behavioral Project Name: Target Devices: Tool versions: Description: Dependencies: Revision: Revision File Created Additional Comments: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity lab4_circuit is Port ( Init : in STD_LOGIC := '0'; Sensor : in STD_LOGIC_VECTOR (3 downto 0) := (others => '0' Insert : in STD_LOGIC := '0'; clk : in STD_LOGIC; Sens_out : out STD_LOGIC_VECTOR (7 downto 0 Inst_out : out STD_LOGIC_VECTOR (3 downto 0) end lab4_circuit; architecture Behavioral of lab4_circuit is COMPONENT register_8bits PORT( d_in : IN std_logic_vector(7 downto 0 clk : IN std_logic; r_w : IN std_logic; d_out : OUT std_logic_vector(7 downto 0) END COMPONENT; COMPONENT alu PORT( 16

17 X : IN std_logic_vector(7 downto 0 Y : IN std_logic_vector(7 downto 0 op : IN std_logic_vector(2 downto 0 D_out : OUT std_logic_vector(7 downto 0) END COMPONENT; COMPONENT ctr_div16 PORT( d_in : IN std_logic_vector(3 downto 0 m : IN std_logic; clk : IN std_logic; rst_l : IN std_logic; en : IN std_logic; ct15 : OUT std_logic; q_out : OUT std_logic_vector(3 downto 0) END COMPONENT; COMPONENT controlador PORT( I : IN std_logic_vector(3 downto 0 RW_R0 : OUT std_logic; RW_TR : OUT std_logic; OP : OUT std_logic_vector(2 downto 0) END COMPONENT; SISTEMAS DIGITAIS internal signals temporary signals signal sensor_ext : std_logic_vector(7 downto 0 ALU signal ALU_dout : std_logic_vector(7 downto 0 Registers R0 and TR signal R0_din : std_logic_vector(7 downto 0 signal R0_rw : std_logic; signal R0_dout, TR_dout : std_logic_vector(7 downto 0 Controlador (CDOR) outputs signal CDOR_rw_r0, CDOR_rw_tr : std_logic; signal CDOR_op : std_logic_vector(2 downto 0 Counter div16 (CNTR) signal CNTR_rst, CNTR_m : std_logic; signal CNTR_en : std_logic; signal CNTR_din : std_logic_vector(3 downto 0 signal CNTR_dout : std_logic_vector(3 downto 0 signal ct7 : std_logic; begin sign extension sensor_ext <= Sensor(3) & Sensor(3) & Sensor(3) & Sensor(3) & Sensor(3 downto 0 REGISTER 0 (R0) mux 2:1 R0_din <= sensor_ext when Insert='1' else ALU_dout; 17

18 or2 on RW for R0 R0_rw <= Insert or cdor_rw_r0; R0 R0: register_8bits PORT MAP( d_in => R0_din, clk => clk, r_w => R0_rw, d_out => R0_dout output: SENS_OUT Sens_out <= R0_dout; TEMPORARY REGISTER (TR) TR: register_8bits PORT MAP( d_in => ALU_dout, clk => clk, r_w => CDOR_rw_tr, d_out => TR_dout ALU ALU1: alu PORT MAP( X => R0_dout, Y => TR_dout, op => CDOR_op, D_out => ALU_dout COUNTER DIV16 counter reset CNTR_rst <= (not Init counter enable ct7 <= not(not CNTR_dout(3) and CNTR_dout(2) and CNTR_dout(1)and CNTR_dout(0) CNTR_en <= Insert or Init or ct7; counter mode select CNTR_m <= Insert; counter data in CNTR_din <= "0000"; contador CNTR CNTR: ctr_div16 PORT MAP( d_in => CNTR_din, m => CNTR_m, clk => clk, rst_l => CNTR_rst, en => CNTR_en, ct15 => open, q_out => CNTR_dout output: INST_OUT Inst_out <= CNTR_dout; CONTROLADOR (CDOR) CDOR: controlador PORT MAP( 18

19 I => CNTR_dout, RW_R0 => CDOR_rw_r0, RW_TR => CDOR_rw_tr, OP => CDOR_op end Behavioral; 19

Laboratório 4 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 4 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 4 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS VERSÃO 3. INTRODUÇÃO Pretende- se com este trabalho que os alunos analisem e projetem um circuito de processamento de dados constituído por

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL

DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL DESCRIÇÃO DE CIRCUITOS DIGITAIS EM VHDL Slides by: Pedro Tomás SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES Outline 2 Linguagens de descrição de Hardware [LINK] Introdução

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Matheus D Eça Torquato de Melo 13/04/2010 13 de abr.

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Uso de banco de registradores e Memorias Projeto Prof. Fernanda Lima Kastensmidt Uso de Memoria em Circuitos Integrados VHDL designs Memory Model Simulador lógico

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

CIRCUITO DE AMOSTRAGEM E RETENÇÃO

CIRCUITO DE AMOSTRAGEM E RETENÇÃO Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica CIRCUITO DE AMOSTRAGEM E RETENÇÃO Laboratório I Trabalho realizado por: Alexandra Gouveia, nº53760 João Mendes Lopes,

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C 7. Hardware programável sequencial... 7-2 7.1 PAL sequencial... 7-2 7.2 Construção sequence present... 7-4 7.3 A750C... 7-5 7. HARDWARE PROGRAMÁVEL SEQUENCIAL Como anteriormente podemos observar na estrutura

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23 Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, 00 Tradução (com revisão, atualização e ampliação) de Digital Electronics and Design with VHDL Elsevier / Morgan Kaufmann, USA, 00 Soluções

Leia mais

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 1 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 Laboratório 1 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1 Hora: 12:30-14:00

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Matheus Barth Souza¹, Ewerton Artur Cappelatti ² Resumo Com o aumento considerável

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

9. Hardware programável sequencial

9. Hardware programável sequencial 9. Hardware programável sequencial... 9-2 9. HARDWARE PROGRAMÁVEL SEQUENCIAL Como anteriormente podemos observar na estrutura PAL descrita no capítulo 5, a saída da função que é posta disponível num pino

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ITEM DIGITI MEEC / LEIC- ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,,

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Sistemas Digitais (SD) Contadores

Sistemas Digitais (SD) Contadores Sistemas Digitais (SD) Contadores Aula Anterior Na aula anterior: Registos Registos simples Banco de registos Registos de deslocamento Registos multimodo 2 Planeamento SEMANA TEÓRICA TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 P R O F. S Í L V I O F E R N A N D E S CRONOGRAMA DO CURSO ATIVIDADE

Leia mais

Lab 9 Implementação do MIPS em VHDL e teste na FPGA

Lab 9 Implementação do MIPS em VHDL e teste na FPGA Sistemas de Microprocessadores DEP. DE ENG.ª ELECTROTÉCNICA E DE COMPUTADORES FACULDADE DE CIÊNCIAS E TECNOLOGIA UNIVERSIDADE DE COIMBRA Lab 9 Implementação do MIPS em VHDL e teste na FPGA Neste trabalho

Leia mais

Tutorial - Xilinx ISE

Tutorial - Xilinx ISE Tutorial - Xilinx ISE Universidade Federal do Rio de Janeiro Escola Politécnica Departamento de Eletrônica e Computação Autores: Artur Lemos Ioav Lichtenstein Thiago Lobo Orientador: Mário Vaz Índice:

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Pedro Tomás Horácio Neto

Pedro Tomás Horácio Neto MEE/MEFT/MEAer 5/6 Pedro Tomás Horácio Neto 5/6 APÍTULO I ONVERSÃO DE NÚMEROS Problema.. Escreva as potências de desde - até 5, e ainda 5 e 5. Problema.. a) onverta para base o número (). b) onverta ()

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Aula 8 - Unidade lógica aritmética e Multiplicadores

Aula 8 - Unidade lógica aritmética e Multiplicadores Aula 8 - Unidade lógica aritmética e Multiplicadores Prof. Renan Sebem Disciplina de Eletrônica Digital Graduação em Engenharia Elétrica Universidade do Estado de Santa Catarina Joinville-SC Brasil 15

Leia mais

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA AULA 17 - Nível de Transferência entre Registradores RTL. Livro texto, pág.242 a 276 e apostila de fluxo de dados. 1. Introdução:.Continuação projeto RTL. Exemplo 5.2: Medidor de distância baseado em raio

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 2

Projecto de Sistemas Digitais. Trabalho Prático 2 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Projecto de Sistemas Digitais 2007/08 1 o semestre 4 o Ano Trabalho Prático 2 Controlo de brilho para sistema de processamento de imagem

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Semana de Engenharia

Semana de Engenharia Semana de Engenharia Introdução a Linguagem de Descrição de HW VHDL a ao Projeto Baseado em Lógica de Programação ALTERA Prof.. Daniel Barros Júnior (dbarros@ee.pucrs.br) Bolsista: Dárcio Prestes (darcio(

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Capítulo 3. Álgebra de Bool

Capítulo 3. Álgebra de Bool Capítulo 3 Álgebra de Bool Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Compreender a relação entre lógica Booleana e os circuitos

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais