CONTROLO DE PONTE BASCULANTE

Tamanho: px
Começar a partir da página:

Download "CONTROLO DE PONTE BASCULANTE"

Transcrição

1 TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação de conhecimentos. Notas preliminares importantes: Este enunciado deverá ser preparado atempadamente por cada aluno separadamente (incluindo as simulações em ambiente ISE - Xilinx). Uns dias antes da aula de laboratório, os alunos de cada grupo devem reunir-se, conferir as suas respostas, comparar as simulações pedidas e verificar que os resultados são os esperados. As respostas às perguntas e as simulações devem ser incluídas na folha de respostas disponível na página da cadeira que será impressa e entregue no início da aula de laboratório ao docente (uma única folha por grupo). Caso surjam dúvidas, devem recorrer aos horários de dúvidas. No início da sessão de laboratório, será distribuída a cada grupo uma nova folha de perguntas/respostas, com alterações ás regras do funcionamento da ponte basculante relativas a uma modificação a fazer ao projeto desenvolvido em casa. Os alunos devem trazer uma pen com o projeto que desenvolveram, sendo também fortemente aconselhável que tragam um portátil onde o projeto inicial esteja a correr convenientemente. A preparação da modificação ao projeto deve ser executada nos minutos iniciais da aula. É fundamental que ambos os alunos venham devidamente preparados para executar esta preparação com rapidez. O restante tempo da aula será dedicado à modificação do projeto em ambiente ISE Xilinx (incluindo simulação) e ao teste do sistema modificado na placa FPGA Basys2. Para a modificação do projeto e simulação, os alunos tanto podem usar os computadores disponíveis nos laboratórios como o seu portátil pessoal (recomendado). Os últimos 5 minutos são reservados à escrita das conclusões, sendo a folha de perguntas/respostas entregue no final da aula. Como preparação prévia, deve ser feita uma leitura cuidada ao documento Introdução ao Ambiente de Projecto da Xilinx (IAPX) disponível na página da cadeira. Deve ter consigo na aula de laboratório cópias dos documentos Introdução ao Ambiente de Projeto da Xilinx e Guia de Implementação de Circuitos na Placa de Desenvolvimento os quais deverá utilizar como manuais de utilização. 1 P á g i n a

2 2. PROJECTO DE UM CIRCUITO DE CONTROLO DE UMA PONTE BASCULANTE Pretende-se projetar um sistema de controlo de uma ponte basculante sobre um rio, similar ao representado na figura seguinte: O rio corre de Norte para Sul, sendo-lhe a ponte perpendicular. Por razões de segurança, como a ponte é baixa, só se permite a passagem de embarcações sob o tabuleiro basculante da ponte quando esta está na posição aberta. E como o tabuleiro basculante da ponte é estreito, só podem passar automóveis num sentido de cada vez. A operação da ponte basculante é automática, sendo a segurança garantida por semáforos para as embarcações (colocados nos pilares e partes laterais do tabuleiro da ponte) e para os automóveis (colocados em ambas as entradas da ponte). Existem dois conjuntos de semáforos para os automóveis (S0 a Este e S1 a Oeste da ponte), um para cada sentido. Os semáforos para as embarcações (S2) são comuns a ambos os sentidos. Os semáforos S0, S1 e S2 contêm duas luzes (verde e vermelho). Como as embarcações são esporádicas, a ponte encontra-se na posição fechada por omissão. Radares colocados na margem do rio (RB) são usados para detetar atempadamente a aproximação das embarcações. Um radar (RT) indica, quando ativo, que existem automóveis no tabuleiro da ponte. Um sinal A indica, quando ativo, que a ponte está completamente aberta (elevada). Um sinal F indica, quando ativo, que a ponte está completamente fechada. Um sinal E ativa o mecanismo para elevar a ponte, e um sinal B ativa o mecanismo para baixar a ponte. No protótipo que vai desenvolver, considere que a elevação da ponte demora 5s e o seu abaixamento demora 6.25s. O sinal E deve ser mantido ativo até a ponte estar completamente elevada, e o sinal B deve ser mantido ativo até a ponte estar completamente fechada. As regras de funcionamento do sistema devem ser as seguintes: Quando a ponte está fechada (F ativo) e enquanto RB não deteta a presença de embarcações, os semáforos S0 e S1 alternam, ficando cada um 3,75s no verde. Quando o semáforo S0 está verde, o semáforo S1 deverá estar vermelho, e vice-versa. No entanto, quando terminam os 3,75s numa direção, se ainda houver automóveis no tabuleiro da ponte nesse sentido (RT ativo) ambos os semáforos devem ficar a vermelho. Só quando RT ficar inativo (não há mais carros nessa direção) é que o semáforo da direção oposta fica a verde. Em qualquer destes casos, os semáforos S2 (das embarcações) deverão estar permanentemente vermelhos. Quando a aproximação de uma embarcação é detetada por RB, o semáforo S0 ou S1 que estiver vermelho, deve assim permanecer, enquanto o outro completa o ciclo normal até chegar a vermelho, e aí permanece. Uma vez que não haja mais automóveis na ponte (RT inativo), o controlador deve iniciar a elevação da ponte (ativar E). Uma vez que a ponte esteja elevada (sinal A ativo), os semáforos S2 devem passar a verde. 2 P á g i n a

3 Quando já não existirem embarcações na zona da ponte (RB inativos), os semáforos S2 devem passar a vermelho e o sistema deve iniciar o abaixamento da ponte (ativar B). Uma vez que a ponte esteja completamente baixa (sinal F ativo), caso não haja embarcações na zona (RB inativos), deve ser restaurado o funcionamento normal de S0 e S1. Caso sejam detetadas mais embarcações na área, a ponte deve ser elevada novamente. O estado inicial do controlador deve corresponder à situação em que a ponte está fechada e, S0, S1 e S2 estão Vermelhos. O sistema só sai desse estado se RT estiver inativo. Projete o circuito de controlo de acordo com o que aprendeu sobre síntese de circuitos sequenciais síncronos, utilizando uma máquina de Moore, de forma a cumprir as especificações referidas no ponto anterior. Utilize Flip Flops (FF) tipo D para a implementação da máquina de estados, que deve ser desenvolvida utilizando uma configuração one-hot. A temporização deve ser implementada num circuito à parte, o qual interage com o circuito de controlo propriamente dito. Considere um sinal de relógio de 0,8 Hz (1,25 s de período). Note que, tendo em conta que será utilizada uma configuração one-hot, o reset deve colocar a máquina de estados no estado inicial, fazendo o set do primeiro FF e o reset dos restantes. Siga os seguintes passos e responda às perguntas da folha de respostas: 2.1. Indique na folha de respostas, justificadamente, as entradas e saídas do sistema completo, da máquina de estados e do circuito de dados Apresente na folha de respostas o diagrama de estados, indicando o valor de todas as variáveis de entrada e saída da máquina de estados. Tenha em conta que o número de estados deve ser minimizado Calcule e apresente na folha de respostas, justificando, as equações das entradas dos FFs em função dos estados anteriores e das entradas. Caso utilize mapas de Karnaugh, apresente-os Apresente na folha de respostas os logigramas do circuito de dados, do circuito de controlo e do circuito total, considerando a utilização de contadores de 4 bits com clock enable e reset (CB4RE), e FFs tipo D com set e reset síncronos (FDRS). Pode, se preferir, apresentar o logigrama utilizado no ISE Implemente o circuito de dados (temporizador) no ISE, e mostre o seu correto funcionamento apresentando na folha de respostas uma simulação que ilustre as situações relevantes. a. Siga o manual IAPX de forma a criar um projecto no ambiente Xilinx ISE. Deve escolher o Package CP132 pois será utilizada a placa Basys2 neste laboratório. b. Crie uma New Source do tipo Schematic à qual deverá chamar temporizador e adicione todos os componentes adicionais necessários ao projeto do circuito de dados. Estabeleça as ligações necessárias entre os diversos componentes evitando usar fios longos entre os elementos, mas colocando um troço wire em cada entrada e saída e dando o nome respetivo através de net name. Em seguida, verifique se o desenho tem erros tal como indicado no manual IAPX. c. Recorrendo ao capítulo 7 do documento IAPX, crie um ficheiro de simulação em VHDL que permita testar o circuito, e um pulso de reset (mínimo 120 ns) no início da simulação (durante pelo menos um período de clock). Quando tiver a certeza que este circuito está a funcionar corretamente, crie um novo símbolo para o circuito temporizador.sch Implemente o circuito de controlo no ISE. Crie uma New Source do tipo Schematic à qual deverá chamar maq_estados e adicione todos os componentes adicionais necessários ao projeto do circuito de controlo. Estabeleça as ligações necessárias entre os diversos componentes evitando usar fios longos entre os elementos, mas colocando um troço wire em cada entrada e saída e dando o nome respetivo através de net name. Em seguida, 3 P á g i n a

4 verifique se o desenho tem erros, tal como indicado no manual IAPX e finalmente crie um ficheiro de simulação de forma a verificar o bom funcionamento do circuito. Quando tiver a certeza que este circuito está a funcionar corretamente, crie um novo símbolo para o circuito maq_estados.sch. Nota: deve considerar saídas adicionais, ligadas as FFs, para teste e visualização na placa Basys2 do estado da máquina de estados. Note que, tendo em conta que será utilizada uma configuração one-hot, o reset deve colocar a máquina de estados no estado inicial, fazendo o set do primeiro FF e o reset dos restantes Implemente o controlador completo (controlador.sch) e apresente na folha de respostas simulações (no máximo duas) correspondentes a situações que exemplificam o seu correto funcionamento onde se visualize o estado (saídas dos FF) e todas as entradas e saídas da máquina de estados. a. Crie uma New Source do tipo Schematic à qual deverá chamar controlador e adicione os símbolos da maq_estados e do temporizador. Estabeleça as ligações entre eles e as entradas e saídas. (A não utilização de símbolos independentes para o circuito de dados e de controlo será penalizada na avaliação). Nota: deve considerar saídas adicionais, ligadas aos FFs, para teste e visualização na placa Basys2 do estado da máquina de estados. b. Recorrendo ao capítulo 7 do documento IAPX, crie um ficheiro de simulação em VHDL que permita testar o circuito, e um pulso de reset (mínimo 120 ns) no início da simulação (durante pelo menos um período de clock). Quando tiver a certeza que este circuito está a funcionar corretamente, crie um novo símbolo para o circuito controlador.sch Deve ser preparada em casa a secção 3 deste enunciado até ao ponto 3.4 inclusive!!! Quando garantidamente os resultados forem os esperados, faça uma cópia do projeto feito em casa (File -> Copy Project), dando o nome L4_aula ao novo projeto e garantindo que a opção copy sources to the new location está ativada. Este é o projeto que irá modificar na aula, sem perigo de perder o projeto original. Os alunos devem levar numa pen os dois projetos para a aula (e se possível ambos os projetos num computador portátil onde o projeto esteja a funcionar corretamente). 3. PREPARAÇÃO PARA O TESTE NA PLACA BASYS2 Assume-se que os alunos já simularam exaustivamente e com sucesso o circuito projetado na alínea 2. Os passos seguintes devem ser preparados em casa, até à criação do ficheiro.bit (ponto 3.4) para programação da placa Basys2. Para o teste na placa do circuito projetado, foi disponibilizado um conjunto de ficheiros (disponíveis na página da cadeira): Nome ficheiro sd.sch do Descrição Esquema principal. Basys2.ucf Ficheiro de configuração das placas para os alunos que têm laboratório no LSD1. 4 P á g i n a

5 clkdiv.vhd Divisor de Frequência - especificação. clkdiv.sym Divisor de Frequência - símbolo. disp7.vhd Bloco de controlo do display de 7 segmentos - especificação. disp7.sym Bloco de controlo do display de 7 segmentos - símbolo. dispste.vhd Bloco que identifica o sinal ativo e indica o estado correspondente no display de 7 segmentos especificação. dispste.sym Bloco que identifica o sinal ativo e indica o estado correspondente no display de 7 segmentos - símbolo. disppte.vhd Bloco que identifica o sinal ativo (A, F, B ou E) mostrando-o no display de 7 segmentos especificação. disppte.sym Bloco que identifica o sinal ativo (A, F, B ou E) mostrando-o no display de 7 segmentos - símbolo. Não modifique os nomes destes ficheiros Adicione ao projeto os ficheiros sd.sch, Basys2.ucf, clkdiv.vhd, disp7.vhd, dispste.vhd e disppte.vhd com Project Add Copy of Source (os ficheiros com extensão.sym serão importados automaticamente) Abra o esquema do módulo sd clicando duas vezes em cima do ficheiro sd.sch. Nota: se ao abrir o esquema lhe aparecer uma janela com a mensagem: Open Schematic File Errors Out-of-date Symbols clique em Update Instances e em OK. Este projeto não é mais do que uma interface para o aluno: as entradas e saídas já estão configuradas de acordo com o modelo do dispositivo utilizado na placa de desenvolvimento. Funciona como uma placa de prototipagem virtual. Nota: Não altere o conteúdo das caixas indicadas a vermelho nem os nomes dos marcadores de entrada/saída. À esquerda do esquema estão as interfaces de entrada correspondentes aos vários interruptores disponíveis na placa. À direita tem as saídas correspondentes aos 4 displays de 7 segmentos (acendem o símbolo hexadecimal correspondente ao número binário de 4 bits respetivo) e aos leds simples. Neste laboratório existem dois componentes que servem para mostrar o estado da máquina de estados (dispste) e os sinais A, F, E e B (disppte). No esquema pode deixar os sinais dos botões de entrada que não usa no ar (o programa elimina-as automaticamente). No entanto, para todas as interfaces de saída (caixa vermelha à direita), deve ligar todas as entradas que não usa a Gnd. Utilize o símbolo Gnd para fixar sinais a 0, e o símbolo Vcc para fixar sinais a 1. Crie um símbolo para o esquema do circuito projetado na secção 2 (controlador.sch) e adicione-o ao esquema do ficheiro sd.sch. 5 P á g i n a

6 NOTA: Serão penalizados os trabalhos que insiram o esquemas da secção 2 diretamente no ficheiro sd.sch Realize as seguintes ligações no ficheiro sd.vhd: a) Ligue o sinal de relógio ao sinal slow_clock; este sinal tem uma frequência de 0,8Hz, o que permite visualizar as mudanças de estado. b) Ligue a entrada de reset ao buffer do botão de pressão 0, pressure0. c) Ligue a entrada correspondente ao radar RB ao buffer do botão de pressão 2, pressure2 e a entrada correspondente ao radar RT ao buffer do botão de pressão 3, pressure3. d) Ligue as saídas do circuito correspondentes aos semáforos aos buffer dos LEDs. S0 Verde S0 Vermelho S1 Verde S1 Vermelho S2 Verde S2 Vermelho LED0 LED1 LED3 LED4 LED6 LED7 e) Ligue os bits de estado do controlador aos sinais state_me do bloco dispste, ligue a GND as entradas não utilizadas. O número do FF ativo (1-15) é mostrado em hexadecimal no display de 7 segmentos, disp1. Em caso de erros, se nenhum ou vários FF estiverem ativos simultaneamente, é mostrado 0. f) Ligue os sinais A, F, E e B às entradas correspondentes no bloco disppte. O sinal ativo é identificado (A, B, E ou F) no display de 7 segmentos, disp2. Em caso de nenhum estar ativo é mostrado 0, se vários estiverem ativos é mostrado Siga os passos descritos no ponto 4 do Guia de Implementação de Circuitos na Placa de Desenvolvimento que permite gerar o ficheiro.bit (deve ser feito em casa mesmo sem acesso à placa) Na aula, implemente o circuito na placa de desenvolvimento. Para tal, siga as instruções dos pontos 3 e 5 do Guia de Implementação de Circuitos na Placa de Desenvolvimento. Note que o interruptor da placa deve estar na posição ON. Nota: durante a síntese do circuito na placa de desenvolvimento, a ferramenta poderá indicar um conjunto de avisos (warnings) e erros. Os erros deverão ser todos corrigidos; os warnings podem em geral ser ignorados, sendo que alguns são originados pelo facto de ter entradas/saídas no ar. 4. TRABALHO A DESENVOLVER NA AULA Como foi referido, o trabalho referido nos pontos 2 e 3 (incluindo a criação do ficheiro.bit) devem ser preparados em casa. Na aula, será pedido para os alunos efetuarem uma modificação ao projeto, preencher a folha de respostas fornecida na aula, simular a modificação ao projeto e refazer o processo para gerar o novo ficheiro.bit modificado, que será testado na placa. Também será pedido aos alunos para testar o ficheiro.bit gerado em casa. 6 P á g i n a

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 1.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A.

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A. TRABALHO DE LABORATÓRIO III CIRCUITO EQUCIAI 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projeto e simulação de

Leia mais

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO 2.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando circuitos

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5 Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5 CIRCUITOS SEQUENCIAIS: CONTADORES 1.. Objetivos: Verificar o funcionamento

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Quartus II: Modo Esquemático. Leandro Schwarz

Quartus II: Modo Esquemático. Leandro Schwarz Quartus II: Modo Esquemático Leandro Schwarz Requisitos do Projeto Requisitos do Projeto Definição do problema: Uma empresa deseja implementar um semáforo para pedestres, com as seguintes características;

Leia mais

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade)

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) Projeto da CPU com Teclado O projeto da CPU precisa ser acoplado a um teclado com comunicação PS2 bem como a um decodificador para display de sete segmentos que

Leia mais

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Introdução ao Laboratório 1 Objectivos O objectivo do 1 o trabalho de laboratório é efectuar a adaptação ao ambiente

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de ª Época - 24 de Janeiro de 2 Antes de começar

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

Programação em Linguagem C

Programação em Linguagem C Programação em Linguagem C UNIDADE 1 Unidade I Nesta unidade aprenderemos: escrever o código no computador e transferir para o Arduino. ligar e desligar um pino; utilizar o delay (tempo); ler um pino para

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Trabalho Prático Nº 8

Trabalho Prático Nº 8 ESTV-ESI-Sistemas Digitais-Trabalho Prático Nº8 /7 Trabalho Prático Nº 8 Projecto de um controlador de semáforos V2 S2 V S S GY R S2 R2 Y2 G2 Especificações funcionais: Controlador de semáforos de um cruzamento

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra 1 Aula pra tica 1 Projeto e Simulaça o no Agilent Advanced Design System PSI3483 Ondas Eletromagnéticas em meios guiados Prof.ª Fatima Salete Correra Atividades da aula Criando um novo espaço de trabalho

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

TRABALHO DE LABORATÓRIO 0

TRABALHO DE LABORATÓRIO 0 TRABALHO DE LABORATÓRIO INTRODUÇÃO AO LABORATÓRIO NOTAS IMPORTANTES A TER EM CONTA ANTES DA AULA: Cada grupo deve ler com atenção, imprimir este enunciado e levá-lo para a aula. Em particular, a parte

Leia mais

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados:

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados: UNIP PROVA P1 Eletrônica Digital I EE 7P01 / 6W01 Duração 90 min Turma A Sem Consulta 16/04/ 2010. Interpretação faz parte da prova. A prova vale 8,0. N.o NOME... Nota 1.a uestão : (Valor 1,5) O sistema

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 3.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais