1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

Tamanho: px
Começar a partir da página:

Download "1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER"

Transcrição

1 TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação de conhecimentos. Durante a aula o grupo completará a adenda ao relatório com as conclusões sobre a montagem, assim como alterações ao relatório, a qual entregará ao docente no final da aula. Na secção 4 é explicada a estrutura que o relatório deverá seguir. Como preparação prévia, deve ser feita uma leitura cuidada do documento Introdução ao Ambiente de Projeto da Xilinx disponível na página da cadeira. Deve ter consigo nesta e nas aulas de laboratório seguintes cópias dos documentos Introdução ao Ambiente de Projeto da Xilinx e Guia de Implementação de Circuitos na Placa de Desenvolvimento os quais deverá utilizar como manuais de utilização. 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS Pretende-se implementar um circuito sequencial básico que implemente um controlador de máquina de venda de bebidas com as seguintes características: Existem dois tipos de bebidas (GOGAGOLA e BEBSI) e o pagamento é feito através de fichas de valor único, vendidas previamente ao utilizador. Ambas as bebidas têm um preço igual e fixo (em número de fichas), correspondente ao dígito de menor peso de maior valor dos números de aluno módulo 6 mais 10. Por exemplo se os números dos alunos forem e 82467, o preço fica (7 mod 6) + 10 = 11 fichas. Existe um estado inicial (READY), que terá a codificação binária correspondente ao bit de menor peso de menor valor dos números de aluno módulo 5 mais 1. Por exemplo se os números dos alunos forem e 82467, o estado inicial fica (1 mod 6) + 1 = 2. O circuito armazena os seguintes dados: número de unidades disponíveis de GOGAGOLAs (4 bits), número de unidades disponíveis de BEBSIs (4 bits), número de fichas em falta (4 bits). Existem 3 saídas de 4 bits cada que indicam: 1) O número disponível de GOGAGOLAs (GGQTY); 2) O número disponível de BEBSIs (BBQTY); 3) Quantas fichas faltam para acabar de pagar a bebida (MISSCOINS) (no estado READY esta saída deve indicar 0). Existem ainda, duas saídas de 1 bit (GGCHOSEN e BBCHOSEN) que indicam respetivamente se foi escolhida a GOGAGOLA ou a BEBSI (ou nenhuma; no estado 1 P á g i n a

2 READY - estão ambas apagadas). Adicionalmente, deve deixar acessível como saída o estado do circuito de controlo. No estado inicial (READY), 3 opções são possíveis: 1) Carregar, através de um botão LOAD, o depósito de bebidas, que fica com 15 bebidas de cada tipo, voltando em seguida ao estado inicial READY; 2) Escolher a GOGAGOLA através do botão GG; 3) Escolher a BEBSI através do botão BB. Quando uma das bebidas é escolhida, deve ser ativada a saída que indica qual a bebida escolhida (GGCHOSEN ou BBCHOSEN) e o sistema deve esperar que sejam introduzidas fichas em número suficiente para perfazer o total. Cada ficha é introduzida através de uma pressão no botão NEWCOIN. A cada moeda que é introduzida, a saída correspondente às fichas em falta (MISSCOINS) deve ser decrementada de um. Quando chegar a zero, deve ser decrementado de um o número de bebidas disponível correspondente (GGQTY ou BQTY) e o sistema volta ao estado inicial READY. Se a bebida selecionada não estiver disponível, o sistema deve manter-se (ou voltar imediatamente) ao estado inicial READY. O sistema é síncrono, com apenas um sinal de relógio, e tem que garantir que não há funcionamento incorreto, mesmo que tenha ido parar a estados não especificados. Uma especificação nunca é completa. Podem existir partes do comportamento do controlador que não estão indicadas explicitamente (ou que podem ser facilmente melhoradas). Nesses casos, tome as decisões que julgar mais razoáveis e justifique-as no relatório. 2. PROJECTO DA MÁQUINA DE ESTADOS 2.1. Apresente o fluxograma correspondente ao funcionamento do controlador da máquina de venda de bebidas. Reparta adequadamente a funcionalidade pedida entre o circuito de dados e o circuito de controlo Apresente a tabela de transição de estados. Para minimizar o número de linhas na tabela, pode utilizar o símbolo X (don t care) sempre que uma entrada for irrelevante para a determinação da transição de estado Considere a implementação da lógica combinatória da máquina de estados através de um elemento de memória (i.e., através de microprogramação). i. Apresente a codificação utilizada para os estados. Identifique, justificadamente qual o tipo de arquitetura escolhida (endereçamento explícito ou implícito). ii. Indique (teoricamente) como utilizar o elemento de memória. Indique ainda qual a dimensão da memória (número de endereços, número de bits por entrada e número total de bits), assim como o seu conteúdo. iii. Implemente a lógica combinatória da máquina de estados do controlador usando um elemento de memória no Xilinx ISE e simule o seu funcionamento. Para realizar esta alínea consulte o anexo A Implemente o circuito de dados utilizando elementos disponíveis no ambiente Xilinx (contadores, Flip Flops, MUXs, portas lógicas, etc): i. Apresente o diagrama lógico dos circuitos resultantes; justifique adequadamente como chegou aos circuitos. 2 P á g i n a

3 2.5. Integre a máquina de estados com o circuito de dados, e simule o funcionamento do sistema completo. 3. REALIZAÇÃO DO CONTROLADOR Assume-se que os alunos já simularam exaustivamente e com sucesso os circuitos desenvolvidos na alínea 2 antes de passar a este ponto (Em casa) Integre o circuito completo no ficheiro sd.sch utilizando o sinal slow_clock como sinal de relógio. Ligue os sinais de entrada da seguinte forma: Botões de Pressão: 0-NEWCOIN 1-LOAD 2-GG 3-BB Coloque os sinais de saída GGCHOSEN e BBCHOSEN nos LEDs 0 e 1 respetivamente. Aos Display de 7 segmentos devem ser ligadas s saídas GGQTY (disp3), BBQTY (disp2) e MISSCOINS (disp1), bem como o estado do circuito de controlo (disp0). Gere o ficheiro.bit. Assume-se que, além do ficheiro.bit, os alunos trazem para o laboratório o projecto Xilinx ISE (com todos os ficheiros auxiliares do Xilinx ISE e não os.sch somente) dos circuitos da alínea 2 numa USB flash drive ou disco (No laboratório) Teste o circuito na placa de desenvolvimento. Poderá ser pedido para implementarem uma modificação ao circuito. 4. ELEMENTOS A INCLUIR NO RELATÓRIO O relatório deve incluir os elementos relativos às perguntas da secção 2, nomeadamente: 1. Fluxograma 2. Tabela de transição de estados 3. Codificação dos estados e justificação da solução escolhida 4. Descrição da memória e da sua utilização. 5. Logigrama do circuito de controlo 6. Logigrama do circuito de dados 7. Impressão das simulações das situações de interesse e comentário curto. 8. Comentário sobre o funcionamento da simulação na placa durante a aula e sobre a eventual modificação ao circuito Os pontos 3, 4, e 8 devem ocupar no máximo 2 páginas. Os pontos restantes devem ser apresentados de forma sucinta mas garantindo uma boa compreensão e fácil leitura. 3 P á g i n a

4 5. AVALIAÇÃO DO TRABALHO DE LABORATÓRIO Na avaliação do trabalho de laboratório serão tidas em conta as seguintes componentes: Preparação e resposta às questões da secção 2. Simulação, teste do circuito e eventual implementação da alteração pedida no laboratório. Estrutura, apresentação e qualidade do relatório. O relatório deverá usar o seguinte conjunto de regras: Páginas: Máximo de páginas A4 de acordo com o indicado no ponto 4, e uma página de capa com a indicação i) do turno (dia da semana e hora) de laboratório, ii) do nome do docente responsável pelo turno, e iii) do nome e iv) número dos elementos do grupo e v) número do grupo. Páginas numeradas, preferencialmente com cabeçalho, e margens não inferiores a 2cm. Letra da família sans-serif (Arial, Verdana, Helvetica, Tahoma, Cambria, Calibri ou Trebuchet MS). Não deverão ser usadas fontes das famílias cursive ou fantasy, excepto para representar símbolos. Pode, se desejar, usar uma fonte da família monospace (ex.: Courier) para indicar sinais físicos. Tamanho da letra de fácil leitura e nunca inferior a 10pt. Figuras e tabelas: As figuras (p. ex.: esquemas) poderão ser feitos num programa de edição de imagens (p. ex.: MS Visio, Omnigraffle, Inkscape,...) ou manuscritas, digitalizadas (com scan ou máquina fotográfica/telemóvel) e inseridas nos espaços correspondentes do relatório. No entanto as figuras deverão estar em estado apresentável (limpas, sem rabiscos ou rascunhos, facilmente perceptíveis e com tamanho de letra não inferior à do relatório). As figuras deverão ser necessariamente enumeradas, acompanhadas de legenda (a legenda deverá explicar sucintamente o que se observa na figura) e ser referenciadas no texto. A simulação dos Test Benches deve ser obrigatoriamente incluída no corpo do relatório (e não em anexo). Estas deverão ser numeradas usando uma legenda do tipo Figura e referenciadas no texto, explicando sucintamente o que se observa. Todos os esquemas no Xilinx ISE realizados no contexto da secção 3 e 4 devem ser incluídos no relatório de forma legível. Para fazer um print screen podem ser usados quaisquer programas adicionais, tal como a ferramenta de recorte do Windows (Snipping Tool). O não cumprimento das regras será penalizado na nota final do laboratório (ex: penalização de 0,5 valores por página adicional). 4 P á g i n a

5 ANEXO A-DESCRIÇÃO DE MEMÓRIAS NO XILINX ISE Deverá adicionar ao projeto um elemento de memória (ficheiro my_mem.vhd). O ficheiro originalmente disponibilizado descreve em VHDL uma memória com 64 palavras (6 bits de endereço), em que cada palavra é composta por 16 bits de dados. Para utilizar esta memória deverá editar o seu conteúdo fazendo duplo click no ficheiro dentro do Xilinx ISE. Aparecerá um editor de texto onde poderá definir o conteúdo de memória (tenha sempre atenção para não alterar o formato do ficheiro). Deverá proceder às seguintes alterações: 1. Indicar nas linhas 34 e 35 o número de bits de endereço da memória e o correspondente número de entradas na memória. No ficheiro original assume-se 6 bits de endereço correspondentes a 64 posições de memória: LINHA 34: address : in std_logic_vector(5 downto 0) LINHA 41: type ram_type is array (0 to 63) of 2. Alterar as linhas 35 e 42, de forma a indicar o tamanho da palavra de dados. No ficheiro original assume-se palavras de 16 bits LINHA 35: data_out : out std_logic_vector(15 downto 0) LINHA 42: std_logic_vector(15 downto 0); 3. Preencher as linhas 41 a 46, adicionando mais linhas se necessário, de forma a indicar o conteúdo da memória. O formato do ficheiro é: <posição> => <dados>, onde <posição> é um número (em decimal) que indica a posição de memória e <dados> é um número (em binário), representado entre aspas, que indica o conteúdo da posição de memória. Por exemplo, a linha 7 => " ", -- bit mais significativo à esquerda indica que o conteúdo da posição de memória 7, M[7], é o número (2). Uma representação alternativa para o número é: B"0001_1101_00_000000", que permite colocar _ entre quaisquer bits de forma a organizar os campos dentro da palavra binária. Utilize sempre que necessário o sinal -- para escrever comentários. 4. Verificar se o ficheiro após alterações contém algum erro de sintaxe e corrigir se necessário. 5. Criar o símbolo correspondente ao elemento de memória. 6. Incluir o bloco de memória no do controlador (poderá necessitar de lógica residual). Ficheiro: my_mem.vhd, linhas 34-35, : entity my_mem is 33: Port ( 34: address : in std_logic_vector(5 downto 0); -- bits de endereço 35: data_out : out std_logic_vector(15 downto 0) -- dimensão da palavra de dados 36: ); 37: end my_mem;... 41: type ram_type is array (0 to 63) of -- indicar o número de posições de memória 42: std_logic_vector(15 downto 0); -- indicar a dimensão da palavra de dados 43: constant InitValue: ram_type := ( 44: 0 => " ", -- posição de memória 0 45: 1 => " ", -- posição de memória 1 46: 2 => " ", : 3 => " ", -- 48: 4 => " ", -- posição 4... adicionar linhas até ao máximo de : others => " " -- outras posições não indicadas anteriormente 50: ); 5 P á g i n a

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando circuitos

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar:

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar: TRABALHO DE LABORATÓRIO V MÁQUINA DE VENDAS AUTOMÁTICA MULTI-UTILIZADOR 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito que simula o funcionamento de uma máquina de venda

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 3.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias para resolução de

Leia mais

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO 2.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas

Leia mais

(LABORATÓRIOS L1 E L2)

(LABORATÓRIOS L1 E L2) TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS (LABORATÓRIOS L1 E L2) 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias,

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A.

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A. TRABALHO DE LABORATÓRIO III CIRCUITO EQUCIAI 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projeto e simulação de

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um

Leia mais

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA Nome dos alunos Data do laboratório Turno de laboratório (dia da semana e sala) INTRODUÇÃO O objectivo deste trabalho

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

ARQUITETURA DE COMPUTADORES

ARQUITETURA DE COMPUTADORES ARQUITETURA DE COMPUTADORES 21010 Enunciado Uma determinada professora resolveu lançar as notas de uma forma peculiar. Considere o seguinte conjunto de dados que correspondem ao nome de estudantes e à

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: Circuito de dados Circuito de controlo Implementação

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Sistemas Digitais Aula Prática Nº 9

Sistemas Digitais Aula Prática Nº 9 Sistemas Digitais Aula Prática Nº 9 Flip-Flops D: implementação de um contador em anel Grupo: Turma: Elementos do Grupo:. Implementação de um contador em anel Os contadores em anel apresentam um padrão

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Documentos MS Word acessíveis

Documentos MS Word acessíveis Documentos MS Word acessíveis Este guia pretende ajudar na produção de documentos acessíveis em Microsoft Word de âmbito académico, para serem distribuidos em formato eletrónico ou impressos. As boas práticas

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 2 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de h3m. iii. O exame

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS VERSÃO 3. INTRODUÇÃO Pretende- se com este trabalho que os alunos analisem e projetem um circuito de processamento de dados constituído por

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 1 e tem a duração de 1h3m. iii. O exame contempla todas

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 12 páginas. ii. O teste contempla as perguntas 5, 6, 7, e 9 e tem a duração de 1h3m. iii. O exame contempla todas as

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 5-6 8 de Janeiro de 6, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 2º Trabalho de Laboratório Unidade de Controlo de Ciclo Único Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de

Leia mais

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo Sistemas Digitais Trabalho Prático 6 Controlo de um motor de passo Princípio de funcionamento do motor de passo O motor de passo utilizado é um motor unipolar constituído por 4 bobines (4 fases) que envolvem

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de hm. iii. O exame contempla todas as perguntas

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

Implementação com ROMs

Implementação com ROMs Implementação com ROMs Considerações iniciais Implementação com a estrutura básica Implementação com endereçamento explícito Implementação com endereçamento implícito 2 1 Como se viu, a implementação de

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por páginas, e tem a duração de h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais