PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

Tamanho: px
Começar a partir da página:

Download "PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA"

Transcrição

1 TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado para avaliação de conhecimentos. No início da aula, cada grupo deverá impreterivelmente mostrar ao docente a resposta a todas as questões referidas na secção 2 e na secção 3. Deverá realizar todo o trabalho em casa, usando a aula de laboratório apenas para testar o circuito na placa de prototipagem. 1. ESPECIFICAÇÃO DO SISTEMA DE FECHADURA ELECTRÓNICA Pretende-se implementar um circuito sequencial básico que implemente um sistema de fechadura electrónica. O circuito global deverá ter a seguinte interface de entrada/saída: Entradas Password Enter Palavra-passe, que consiste num número entre 0 e 15. Finaliza a introdução da palavrapasse. Saídas CONFIG INTRO_PASS Indica que o circuito se encontra em modo de introdução de nova palavra-passe. Durante o modo de introdução de nova palavra-passe, indica que o utilizador deve introduzir esta última. Close Tranca a fechadura. WRONG_PASS Indica que a palavra-passe introduzida está errada. NewCode Inicia o processo de configuração de nova palavra-passe na fechadura. LOCK_OPENED Indica se a fechadura está aberta ou fechada. 1 P á g i n a

2 Relativamente ao circuito de controlo em particular, sugere-se a seguinte interface: Entradas PasswordOK Enter Indica se a password introduzida é igual à armazenada no circuito de dados. Finaliza a introdução da palavrapasse. Saídas CONFIG INTRO_PASS Indica que o circuito se encontra em modo de introdução de nova palavra-passe. Durante o modo de introdução de nova palavra-passe, indica que o utilizador deve introduzir esta última. Close Tranca a fechadura. ACT_TIMER Despoleta o temporizador associado à introdução de palavra-passe errada. NewCode Inicia o processo de configuração de nova palavra-passe na fechadura. TimerExpired Indica que o temporizador associado à introdução de palavra-passe errada expirou. LOAD_PASS Carrega o valor da nova palavrapasse no circuito de dados. WRONG_PASS Indica que a palavra-passe introduzida está errada. LOCK_OPENED Indica se a fechadura está aberta ou fechada. O funcionamento da fechadura electrónica obedece ainda aos seguintes requisitos: Assumindo que a fechadura está fechada, um utilizador que queira entrar, introduz o código utilizando botões on/off, seguido de Enter, estando atribuído um botão por dígito binário da palavrapasse. Uma vez introduzida a palavra-passe, o sistema compara-a com a palavra-passe configurada na fechadura. Se forem iguais, a fechadura é aberta através da activação de LOCK_OPENED. Se forem diferentes, o sistema indica este facto através da activação de WRONG_PASS, iniciando um temporizador de 12.5s, durante o qual as entradas da fechadura estão inibidas; uma vez expirado o temporizador, o sistema volta ao estado inicial. Uma vez aberta, o sistema volta a fechar a fechadura quando detecta que o sinal Close está activo. Para configurar uma nova palavra-passe na fechadura, assumindo que a fechadura está fechada, activa-se o sinal NewCode, passando o sistema ao modo de configuração (indicado pela activação de CONFIG). O sistema aguarda então a introdução da palavra-passe anterior. Se estiver correcta, o sistema aguarda a introdução da nova palavra-passe, activando INTRO_PASS; após a introdução da nova palavra-passe, o sistema faz com que seja memorizada no circuito de dados e volta ao estado inicial. Por outro lado, se a palavra-passe anterior que foi introduzida estiver errada, o sistema indica este facto através da activação de WRONG_PASS, iniciando um temporizador de 12.5s, durante o qual as entradas da fechadura estão inibidas; uma vez expirado o temporizador, o sistema volta ao estado inicial. O armazenamento e verificação da palavra-passe da fechadura são feitos no circuito de dados. O sistema recebe dois relógios do exterior, um para o sistema global e outro para o temporizador: 55 MHz e 0,8 H, respectivamente. O sistema tem que garantir que não há funcionamento incorrecto, mesmo que tenha ido parar a estados não especificados. 2 P á g i n a

3 Uma especificação nunca é completa. Podem existir partes do comportamento do sistema que não estão indicadas explicitamente (ou que podem ser facilmente melhoradas). Nesses casos, tome as decisões que julgar mais razoáveis e justifique-as no relatório. 1. PROJECTO DA MÁQUINA DE ESTADOS 1.1. Apresente o fluxograma correspondente ao funcionamento do circuito de controlo da fechadura electrónica. Implemente o armazenamento e verificação da palavra-passe como um circuito de dados à parte do circuito de controlo. O circuito que implementa o temporizador também deve ser considerado à parte da máquina de estados de controlo Identifique, justificadamente o tipo de máquina de estados do circuito de controlo (Moore vs Mealy) Apresente duas codificações possíveis para os estados, correspondentes: (i) codificação binária (ver abaixo restrição para o código do estado inicial) e (ii) codificação one-hot. Indique quais as vantagens e desvantagens de cada caso numa implementação baseada em micro-programação. Tendo isto em conta, escolha a codificação a utilizar para a realização do trabalho de laboratório. Nota: na codificação binária, o código a utilizar para o estado inicial é igual a N1+N2 mod 6, em que N1 e N2 são os números mecanográficos dos membros do grupo. O estado inicial corresponde à situação em que a porta está fechada e nenhum comando foi introduzido pelo utilizador Apresente a tabela de transição de estados. Para minimizar o número de linhas na tabela, pode utilizar o símbolo X (don t care) sempre que uma entrada for irrelevante para a determinação da transição de estado Considere a implementação da lógica combinatória da máquina de estados através de um elemento de memória (i.e., através de micro-programação). i. Indique, justificando, o tipo de arquitectura micro-programada que elegeu para esta implementação. ii. Indique (teoricamente) como utilizar o elemento de memória. Indique ainda qual a dimensão da memória (número de endereços, número de bits por entrada e número total de bits), assim como o seu conteúdo. iii. Implemente a lógica combinatória da máquina de estados do circuito de controlo usando um elemento de memória no Xilinx ISE e simule o seu funcionamento (estado e saídas). Para realizar esta alínea consulte o anexo A Implemente o circuito de dados que gere o armazenamento e verificação da palavra-passe e o circuito que gere o temporizador utilizando a lógica adicional que achar conveniente: i. Apresente o diagrama lógico dos circuitos resultantes; justifique adequadamente como chegou aos circuitos. ii. Implemente esses circuitos no Xilinx ISE e simule o seu funcionamento Integre a máquina de estados com os circuitos de dados e simule o funcionamento da fechadura electrónica completa. Para efeitos de simulação, utilize um só relógio como base (o de sistema) e considere que o relógio do temporizador tem um período cinco vezes superior àquele. 3 P á g i n a

4 2. REALIZAÇÃO DO SISTEMA Assume-se que os alunos já simularam exaustivamente e com sucesso os circuitos desenvolvidos na alínea 2. Assume-se também que os alunos trazem o projecto (como todos os ficheiros auxiliares do Xilinx ISE e não o esquemático somente) Xilinx ISE dos circuitos da alínea 2 numa USB flash drive ou disco externo USB, incluindo o ficheiro.bit Integre a fechadura electrónica completa no ficheiro sd.sch utilizando os sinais slow_clock e fast_clock como sinais de relógio, da forma que achar mais adequada. Ligue os sinais de entrada da seguinte forma: Botões de Pressão: 0-Enter 1-Close 2-NewCode Botões on/off: 0,1,2,3 Password Coloque os sinais da máquina de estados nos LEDs pela ordem seguinte, da esquerda para a direita: CONFIG, INTRO_PASS, ACT_TIMER, LOAD_PASS, WRONG_PASS, LOCK_OPENED, PasswordOK, TimerExpired. Coloque o valor da palavra-passe configurada e o valor actual da contagem do temporizador (medida em ciclos de relógio de temporizador) no display de 7 segmentos Teste o circuito na placa de desenvolvimento. 3. AVALIAÇÃO DO TRABALHO DE LABORATÓRIO NA AVALIAÇÃO DO TRABALHO DE LABORATÓRIO SERÃO TIDOS EM CONTA OS SEGUINTES COMPONENTES: Projecto e implementação do circuito (alíneas 2.1, 2.4, 2.5, 2.6 e 2.7). Questões teóricas relacionados com a máquina de estados (alíneas 2.2 e 2.3). Implementação e integração das funcionalidades (alínea 3.1 e 3.2): o Funcionamento geral do circuito. o Resposta célere das entradas. o Saídas a funcionar correctamente. Estrutura, apresentação e qualidade do relatório. Em cada uma das alíneas anteriores de projecto serão tomados em consideração os seguintes pontos, os quais deverão ser esclarecidos no relatório a entregar: Descrição de como foi efectuado o projecto dos diversos circuitos Simplicidade e eficiência das soluções Diagrama lógico dos circuitos Simulações que comprovem o funcionamento dos circuitos Funcionamento dos circuitos na placa de desenvolvimento O relatório deverá seguir a seguinte estrutura: 1. INTRODUÇÃO Breve introdução aos objectivos do trabalho realizado. 2. PROJECTO DO CIRCUITO COMPLETO Visão de conjunto do projecto. 3. PROJECTO DO CIRCUITO DE CONTROLO 4 P á g i n a

5 Respostas às alíneas 2.1, 2.2, 2.3, 2.4 e PROJECTO DO CIRCUITO DE DADOS Resposta às alínea INTEGRAÇÃO DAS FUNCIONALIDADES NO CIRCUITO GLOBAL Integração e correcto funcionamento das funcionalidades do circuito, validadas na placa de desenvolvimento e através das simulações que achar adequadas. 6. CONCLUSÕES Comentário acerca do trabalho realizado e dos resultados obtidos experimentalmente. 5 P á g i n a

6 ANEXO A DESCRIÇÃO DE MEMÓRIAS NO XILINX ISE Deverá adicionar ao projecto um elemento de memória (ficheiro my_mem.vhd). O ficheiro originalmente disponibilizado descreve em VHDL uma memória com 64 palavras (6 bits de endereço), em que cada palavra é composta por 16 bits de dados. Para utilizar esta memória deverá editar o seu conteúdo fazendo duplo click no ficheiro dentro do Xilinx ISE. Aparecerá um editor de texto onde poderá definir o conteúdo de memória (tenha sempre atenção para não alterar o formato do ficheiro). Deverá proceder às seguintes alterações: 1. Indicar nas linhas 34 e 35 o número de bits de endereço da memória e o correspondente número de entradas na memória. No ficheiro original assume-se 6 bits de endereço correspondentes a 64 posições de memória: LINHA 34: address : in std_logic_vector(5 downto 0) LINHA 41: type ram_type is array (0 to 63) of 2. Alterar as linhas 35 e 42, de forma a indicar o tamanho da palavra de dados. No ficheiro original assume-se palavras de 16 bits LINHA 35: data_out : out std_logic_vector(15 downto 0) LINHA 42: std_logic_vector(15 downto 0); 3. Preencher as linhas 41 a 46, adicionando mais linhas se necessário, de forma a indicar o conteúdo da memória. O formato do ficheiro é: <posição> => <dados>, onde <posição> é um número (em decimal) que indica a posição de memória e <dados> é um número (em binário), representado entre aspas, que indica o conteúdo da posição de memória. Por exemplo, a linha 7 => " ", -- bit mais significativo à esquerda indica que o conteúdo da posição de memória 7, M[7], é o número (2). Utilize sempre que necessário o sinal -- para escrever comentários. 4. Verificar se o ficheiro após alterações contém algum erro de sintaxe e corrigir se necessário. 5. Criar o símbolo correspondente ao elemento de memória. 6. Substituir a lógica combinatória da máquina de estados pelo bloco de memória (poderá necessitar de lógica residual). Ficheiro: my_mem.vhd, linhas 34-35, : entity my_mem is 33: Port ( 34: address : in std_logic_vector(5 downto 0); -- bits de endereço 35: data_out : out std_logic_vector(15 downto 0) -- dimensão da palavra de dados 36: ); 37: end my_mem;... 41: type ram_type is array (0 to 63) of -- indicar o número de posições de memória 42: std_logic_vector(15 downto 0); -- indicar a dimensão da palavra de dados 43: constant InitValue: ram_type := ( 44: 0 => " ", -- posição de memória 0 45: 1 => " ", -- posição de memória 1 46: 2 => " ", : 3 => " ", -- 48: 4 => " ", -- posição 4... adicionar linhas até ao máximo de : others => " " -- outras posições não indicadas anteriormente 50: );... 6 P á g i n a

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Hardware. 5- Faça o fluxograma para efectuar o debounced de uma tecla por temporização(20ms). Implemente uma rotina para a leitura da tecla.

Hardware. 5- Faça o fluxograma para efectuar o debounced de uma tecla por temporização(20ms). Implemente uma rotina para a leitura da tecla. 1- Na ligação de Microprocessadores a periféricos são utilizados, básicamente dois métodos, I/O-polling e I/O-interrupt. Quais as vantagens e desvantages de cada método, exemplifique. 2- Qual a diferença

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Guião do trabalho prático TP7

Guião do trabalho prático TP7 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Disciplina Automação Ramos Energia e Automação Guião do trabalho prático TP7 Projecto de Porta Automática baseado em Autómato Programável

Leia mais

SISTEMAS DIGITAIS I (EC) SISTEMAS DIGITAIS (EE) Enunciado do Projecto

SISTEMAS DIGITAIS I (EC) SISTEMAS DIGITAIS (EE) Enunciado do Projecto SISTEMAS DIGITAIS I (EC) SISTEMAS DIGITAIS (EE) Enunciado do Projecto Prof. José Sousa 2004/2005 Sumário Enunciado do Projecto: Trinco Electrónico...2 Regras e Calendário do Projecto...3 Estrutura do Relatório

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

Fundamentos de Microprocessadores 2006/2007 1º Ano Eng. Electrotécnica (Bolonha) 1º Exame (14/07/2007)

Fundamentos de Microprocessadores 2006/2007 1º Ano Eng. Electrotécnica (Bolonha) 1º Exame (14/07/2007) Fundamentos de Microprocessadores 2006/2007 1º Ano Eng. Electrotécnica (Bolonha) 1º Exame (14/07/2007) 1 a) Desenhe um fluxograma que implemente o seguinte circuito: - O circuito é sequencial síncrono

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Exemplo de número de caixa. Exemplo de número de posto

Exemplo de número de caixa. Exemplo de número de posto 1. Índice 2. Inicialização....2 3. Configuração..3 4. Configuração inicial de ZS Rest APP em terminal móvel........12 5. Funcionamento ZS Rest APP em terminal móvel.....14 2 Este manual serve para o ajudar

Leia mais

Fundamentos de Microprocessadores ENGENHARIA ELECTROTÉCNICA

Fundamentos de Microprocessadores ENGENHARIA ELECTROTÉCNICA ENGENHARIA ELECTROTÉCNICA 2º Semestre 25/26 FUNDAMENTOS DE MICROPROCESSADORES (XX-4-26 DIA/NOITE) DUNA=DIGITO UNIDADES DO NÚMERO DE ALUNO ª FREQUÊNCIA - TIPO Grupo I Memórias e controladores - [,5V] Preencha

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

Trabalho Prático Nº3 Porta Paralela

Trabalho Prático Nº3 Porta Paralela Trabalho Prático Nº3 Porta Paralela 1. OBJECTIVOS - Utilização da porta paralela como porto genérico de Entrada e Saída. 2. INTRODUÇÃO A porta paralela é um meio frequentemente utilizado para efectuar

Leia mais

Exame de 1ª Época Introdução à Programação IGE e ETI 2003/02/25-1º semestre de 2002/2003 ISCTE

Exame de 1ª Época Introdução à Programação IGE e ETI 2003/02/25-1º semestre de 2002/2003 ISCTE Recibo do Exame de 1ª Época de Introdução à Programação (IGE e ETI), 2003/02/25 1º semestre de 2002/2003, ISCTE Nome do aluno:... Número do aluno:... Assinatura do docente:... Notas: Exame de 1ª Época

Leia mais

Configuração remota de circuitos baseados em FPGA

Configuração remota de circuitos baseados em FPGA Bolsa de Investigação Científica Configuração remota de circuitos baseados em FPGA Orientador/Responsável: Prof Valery Sklyarov Manuel Joaquim da Silva Almeida Outubro - Fevereiro Conteúdos 1 Introdução

Leia mais

Computer Setup Manual do utilizador

Computer Setup Manual do utilizador Computer Setup Manual do utilizador Copyright 2007 Hewlett-Packard Development Company, L.P. Windows é uma marca comercial registada nos EUA da Microsoft Corporation. As informações aqui contidas estão

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Seguinte Contas de Correio Eletrónico Seguinte Ficheiro Definições da Conta Adicionar Conta Configuração da Conta Automática O Seu Nome

Seguinte Contas de Correio Eletrónico Seguinte Ficheiro Definições da Conta Adicionar Conta Configuração da Conta Automática O Seu Nome Como posso configurar o Outlook 2010?... 1 Configurar o correio eletrónico num iphone, ipad ou ipod Touch da Apple?... 2 Configurar o Correio Eletrónico num Android G1... 3 Configurar o Correio Eletrónico

Leia mais

Princípio de Funcionamento

Princípio de Funcionamento Treinamento Princípio de Funcionamento Programa Teclados Chaves Botoeiras IHM s Fins de Curso Sensores etc... Protocolos Padrões Elétricos Tensão Corrente etc... Relés Contatores etc... SKIP BK Descrição

Leia mais

Grupo. 1 Introdução e objectivos. 2 Estudo do protocolo IETF Stream Control Transport Protocol SCT 2.2 Estudo do formato dos pacotes SCTP

Grupo. 1 Introdução e objectivos. 2 Estudo do protocolo IETF Stream Control Transport Protocol SCT 2.2 Estudo do formato dos pacotes SCTP Departamento de Ciências e Tecnologias da Informação Inteligência em Gestão de Redes e Serviços (2009/10) Laboratório 2.1 (versão 4.0): Sinalização sobre IP SCTP Grupo 1 Introdução e objectivos O objectivo

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Controlador de Motor de Passo baseado num 8051

Controlador de Motor de Passo baseado num 8051 Controlador de Motor de Passo baseado num 8051 1ª Parte Implementação do circuito de accionamento do motor de passo Dimensione o circuito de accionamento do motor de passo N82100. Seleccione o transformador

Leia mais

Projecto de Laboratório de Computadores

Projecto de Laboratório de Computadores Projecto de Laboratório de Computadores 2016-2017 Hélder P. Oliveira, Luís Torgo, Rogério Reis DCC@FCUP 1 Introdução O objetivo deste projeto é o desenvolvimento de um sistema de controlo de um supermercado,

Leia mais

Escola Superior de Enfermagem. ANEXO I Procedimentos para requisição de material

Escola Superior de Enfermagem. ANEXO I Procedimentos para requisição de material ANEXO I Procedimentos para requisição de material 7 1. Acesso ao Programa Para aceder ao programa clique sobre o atalho PHC_ESEUM, no ambiente de trabalho. Este poderá ser apresentado da seguinte forma:

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

ENGª DE ELECTRÓNICA E COMPUTADORES

ENGª DE ELECTRÓNICA E COMPUTADORES ENGª DE ELECTRÓNICA E COMPUTADORES SISTEMAS DIGITAIS II Colectânea de Exercícios de Exame José Sousa 2-22 Sumário MEMÓRIAS 2 MÁQUINAS DE ESTADOS SÍNCRONAS 6 MÁQUINAS DE ESTADOS ASSÍNCRONAS OUTRAS REALIZAÇÕES

Leia mais

Placas de suporte externas

Placas de suporte externas Placas de suporte externas Número de publicação do documento: 393506-131 Outubro de 2005 Este manual explica como utilizar as placas de suporte externas. Conteúdo 1 Cartões das ranhuras de suporte digital

Leia mais

REDES DE COMPUTADORES

REDES DE COMPUTADORES REDES DE COMPUTADORES Exame Prova Prática 3º MIEIC 16.06.2009 Nome: 1. Considere que um protocolo de ligação de dados é suportado num canal com capacidade igual a 128 kbit/s (em cada sentido) e que o tempo

Leia mais

Manual do Utilizador. Declaração Anual Suporte Informático. Anexo J /Modelo 10

Manual do Utilizador. Declaração Anual Suporte Informático. Anexo J /Modelo 10 Manual do Utilizador Declaração Anual Suporte Informático Anexo J /Modelo 10 TSR Sistemas de Informação, Lda. FEVEREIRO 2010 Pág. 2 Índice Objectivo... 3 Instalação... 3 Utilizadores... 3 Utilitários de

Leia mais

Engenharia de Sistemas e Informática Guia do Trabalho / º Ano/ 1.º Semestre

Engenharia de Sistemas e Informática Guia do Trabalho / º Ano/ 1.º Semestre Sistemas de Instrumentação Departamento de Informática esi Engenharia de Sistemas e Informática Guia do Trabalho 2 2005/2006 2.º Ano/ 1.º Semestre Título: Teoria Técnicas de Aquisição, Geração e Processamento

Leia mais

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011

ZS Rest. Manual Avançado. Funcionamento com cartões Sistema Pré-Pago. v2011 Manual Avançado Funcionamento com cartões Sistema Pré-Pago v2011 1. Índice 2. INTRODUÇÃO... 2 3. INICIAR O ZSRest FrontOffice... 3 1 4. Iniciar cartões pré-pagos... 4 b) Adicionar Cartões Pré-Pagoc) Atribuir

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Centro de Educação Profissional da Universidade Estadual de Campinas

Centro de Educação Profissional da Universidade Estadual de Campinas Professor - Romeu Corradi Júnior Centro de Educação Profissional da Universidade Estadual de Campinas Atividades: Resolução de exercícios com alguns comentários (Lista 01-FSM1) 1. Obter as tabelas de transição

Leia mais

INSTRUMENTAÇÃO E MEDIDAS

INSTRUMENTAÇÃO E MEDIDAS INSTRUMENTAÇÃO E MEDIDAS TRABALHO N.º2 CONTROLO DE UM DISPLAY DE 7 SEGMENTOS ATRAVÉS DA PORTA PARALELA USANDO COMUNICAÇÃO SÉRIE E PARALELA. Duração do trabalho 2 aulas práticas Ano Lectivo 2002/2003 Página

Leia mais

O presente manual tem como objectivo orientar os utilizadores a efectuarem o seu registo no SISO.

O presente manual tem como objectivo orientar os utilizadores a efectuarem o seu registo no SISO. Instruções de acesso ao SISO Utilizador Convidado O presente manual tem como objectivo orientar os utilizadores a efectuarem o seu registo no SISO. Todos os processos associados a este registo encontram-se

Leia mais

Vantagens da Folha de Cálculo. UFCD 3776 Informática folha de cálculo e base de dados. Formadora: Sónia Rodrigues.

Vantagens da Folha de Cálculo. UFCD 3776 Informática folha de cálculo e base de dados. Formadora: Sónia Rodrigues. UFCD 776 Informática folha de cálculo e base de dados Objectivos da UFCD: Utilizar aplicações informáticas de folha de cálculo e de base de dados. UFCD 776 Informática folha de cálculo e base de dados

Leia mais

TC500 / TC550 MANUAL DE UTILIZADOR

TC500 / TC550 MANUAL DE UTILIZADOR TC500 / TC550 MANUAL DE UTILIZADOR TELEMAX Telecomunicações e Electrónica, Lda Rua Barão de Forrester, 784 4050-272 Porto Rua da Venezuela, 57 A/B, 1500-618 Lisboa Tlf: (+351) 222085025 / (+351) 21758695

Leia mais

Windows 95/98/Me/2000

Windows 95/98/Me/2000 Windows 95/98/Me/2000 Mais que um Sistema Operativo, o MS-Windows é um ambiente de trabalho que simula no ecrã o trabalho diário sobre uma secretária. A ideia base do MS-Windows foi a de esconder a organização

Leia mais

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C 7. Hardware programável sequencial... 7-2 7.1 PAL sequencial... 7-2 7.2 Construção sequence present... 7-4 7.3 A750C... 7-5 7. HARDWARE PROGRAMÁVEL SEQUENCIAL Como anteriormente podemos observar na estrutura

Leia mais

LAB4 Introdução aos Controladores Lógicos Programáveis

LAB4 Introdução aos Controladores Lógicos Programáveis LAB4 Introdução aos Controladores Lógicos Programáveis 4.1 Introdução Os Controladores Lógicos Programáveis (CLPs) são dispositivos digitais, muito utilizados na indústria, capazes de armazenar instruções

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Conforme discutido na última aula, cada operação lógica possui sua própria tabela verdade. A seguir será apresentado o conjunto básico de portas lógicas

Leia mais

Manual do Fénix. Gestão da ficha de unidade curricular (Portal de coordenador de ECTS) DSI 28-01-2010 (Versão 1.0)

Manual do Fénix. Gestão da ficha de unidade curricular (Portal de coordenador de ECTS) DSI 28-01-2010 (Versão 1.0) Manual do Fénix Gestão da ficha de unidade curricular (Portal de coordenador de ECTS) DSI 28-01-2010 (Versão 1.0) Este manual tem como objectivo auxiliar a tarefa de gestão de versões da ficha de unidade

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

CONTROLADOR do MOTOR de PASSO

CONTROLADOR do MOTOR de PASSO UNIVERSIDADE DO MINHO DEPARTAMENTO DE ELECTRÓNICA INDUSTRIAL Laboratórios Integrados II CONTROLADOR do MOTOR de PASSO Trabalho Prático nº 7 ÍNDICE ÍNDICE...ii 1. OBJECTIVOS DO TRABALHO... 1 2. ETAPAS DO

Leia mais

Tecnologias da Informação e Comunicação: Sistema Operativo em Ambiente Gráfico

Tecnologias da Informação e Comunicação: Sistema Operativo em Ambiente Gráfico Tecnologias da Informação e Comunicação UNIDADE 1 Tecnologias da Informação e Comunicação: Sistema Operativo em Ambiente Gráfico 1º Período SUMÁRIO Sistema Operativo: definição e tipos. Elementos básicos

Leia mais

PLANIFICAÇÃO SEMESTRAL

PLANIFICAÇÃO SEMESTRAL Disciplina: Tecnologias de Informação e Comunicação PLANIFICAÇÃO SEMESTRAL 7.º Ano Unidade Temática e Conteúdos Domínios/Subdominios Descritores (objetivos Especificos) Estratégias de Aprendizagem N.º

Leia mais

Escola Secundária de Emídio Navarro

Escola Secundária de Emídio Navarro Escola Secundária de Emídio Navarro Curso Secundário de Carácter Geral (Agrupamento 4) Introdução às Tecnologias de Informação Bloco I 11.º Ano Ficha de avaliação sumativa n.º 1 Duração: 50 min. + 50 min.

Leia mais

3 a Lista de Exercícios

3 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2010/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

Departamento de Informática

Departamento de Informática Departamento de Informática Licenciatura em Engenharia Informática Sistemas Distribuídos 1ª chamada, 19 de Janeiro de 2011 1º Semestre, 2011/2012 NOTAS: Leia com atenção cada questão antes de responder.

Leia mais

Instituto Superior de Engenharia de Lisboa

Instituto Superior de Engenharia de Lisboa Instituto Superior de Engenharia de Lisboa Departamento de Engenharia de Electrónica de Telecomunicações de Computadores Guia de utilização do Moodle (Versão 1.6.2) Vista do Professor Versão 2.0 Outubro

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Manual Prático. Elisabete Aguiar

Manual Prático. Elisabete Aguiar Manual Prático Elisabete Aguiar Índice A BARRA DE OPÇÕES... 4 Abrir um documento existente... 6 Guardar um documento Word... 7 Ambiente de Trabalho no Microsoft Word 2007... 9 Configuração de Páginas...

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Projeto de Introdução à Arquitetura de Computadores. Corridas de Bicicleta 2013 / 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES

Projeto de Introdução à Arquitetura de Computadores. Corridas de Bicicleta 2013 / 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Projeto de Introdução à Arquitetura de Computadores Corridas de Bicicleta 2013 / 2014 INSTITUTO SUPERIOR TÉCNICO Índice 1. Objetivo... 3 2. Descrição

Leia mais

Corrigir um valor de erro

Corrigir um valor de erro Corrigir um valor de erro Se uma fórmula não conseguir avaliar adequadamente um resultado, o Microsoft Office Excel mostrará um valor de erro. Cada tipo de erro tem causas e soluções diferentes. Corrigir

Leia mais

earte Portal de Arte e Cultura

earte Portal de Arte e Cultura v 2.0 Tutorial Guia Rápido de Utilização 2008-2011 SIQuant Engenharia do Território e Sistemas de Informação, Lda. Web: www.siquant.pt E-mail: mail@siquant.pt Copyright SIQuant 2008-2011. Todos os direitos

Leia mais

SP-1101W/SP-2101W Quick Installation Guide

SP-1101W/SP-2101W Quick Installation Guide SP-1101W/SP-2101W Quick Installation Guide 05-2014 / v1.0 1 I. Informações sobre o produto I-1. Conteúdo da embalagem Comutador de ligação inteligente Guia de instalação rápida CD com Guia de instalação

Leia mais

FORMAÇÃO DE MICROSOFT EXCEL 2010

FORMAÇÃO DE MICROSOFT EXCEL 2010 FORMAÇÃO DE MICROSOFT EXCEL 2010 Mário de Almeida Pedro, M. Sc. Novembro 2013 Bemvindo Identificação da UFCD UFCD nº 0756 Folha de Cálculo, com a duração de 50 horas Microsoft Excel 2010 Objectivos: No

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES

EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES MEC UTFPR-CT DAELT CURSO: ENGENHARIA INDUSTRIAL ELÉTRICA DISCIPLINA: ELETRÔNICA DIGITAL PROF.: EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES 1 DATA REALIZAÇÃO: DATA ENTREGA: ALUNOS: e e Planejamento:

Leia mais

ZSRest. Manual de Configuração. Centros de Produção. V2011-Certificado

ZSRest. Manual de Configuração. Centros de Produção. V2011-Certificado Manual de Configuração Centros de Produção V2011-Certificado 1 1. Índice 2. Introdução... 2 3. Criar um Centro de Produção... 3 4. Definir Impressoras de Pedidos... 4 5. Opções de Impressão... 6 6. Impressão

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

Agrupamento Campo Aberto - Beiriz. Tecnologias da Informação e Comunicação. Unidade 1: Tecnologias da Informação e Comunicação. 1.2.

Agrupamento Campo Aberto - Beiriz. Tecnologias da Informação e Comunicação. Unidade 1: Tecnologias da Informação e Comunicação. 1.2. Agrupamento Campo Aberto - Beiriz Tecnologias da Informação e Comunicação Unidade 1: Tecnologias da Informação e Comunicação Conteúdos Tecnologias da Informação e Comunicação - 9º ano n n n Ambiente gráfico

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 2 o Semestre (2010/2011) LEIC-A, MEIC-A Departamento de Engenharia Informática 1 o Teste (A) - 7 de Abril de 2011 Duração: 1h30 + 0h30 Regras: O

Leia mais

Associações de Ficheiros. Mike McBride Tradução: José Pires

Associações de Ficheiros. Mike McBride Tradução: José Pires Mike McBride Tradução: José Pires 2 Conteúdo 1 Associações de Ficheiros 4 1.1 Introdução.......................................... 4 1.2 Como usar este módulo.................................. 4 1.2.1

Leia mais

GIAE VERSÃO SETEMBRO DE 2011

GIAE VERSÃO SETEMBRO DE 2011 GIAE VERSÃO 2.1.2 8 SETEMBRO DE 2011 Com o objectivo de unificar a versão em todos os módulos do GIAE, incluindo o GestorGIAE, todos os módulos são actualizados para a versão 2.1.2. Muito Importante: Antes

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Ficheiros de texto 1. Ficheiros de texto. 1. Implementar um programa que leia uma frase do teclado e a escreva num ficheiro.

Ficheiros de texto 1. Ficheiros de texto. 1. Implementar um programa que leia uma frase do teclado e a escreva num ficheiro. Ficheiros de texto 1 Ficheiros de texto 1. Implementar um programa que leia uma frase do teclado e a escreva num ficheiro. 2. Implementar um programa que procure num ficheiro uma palavra lida do teclado.

Leia mais

Trabalho de Programação 2 Processador CESAR

Trabalho de Programação 2 Processador CESAR Trabalho de Programação 2 Processador CESAR 1. Descrição Geral A empresa TABLETEROS S.A. assinou um contrato milionário de fornecimento de ultrabooks e teve que aumentar o número de estantes. Agora, a

Leia mais

Linhas de Exploração do Quadro de Competências da Disciplina de Tecnologias de Informação e Comunicação (TIC) 1º Ciclo do Ensino Básico

Linhas de Exploração do Quadro de Competências da Disciplina de Tecnologias de Informação e Comunicação (TIC) 1º Ciclo do Ensino Básico Escola Básica e Secundária de Velas Linhas de Exploração do Quadro de Competências da Disciplina de Tecnologias de Informação e Comunicação (TIC) 1º Ciclo do Ensino Básico 1 Conceitos Básicos No final

Leia mais

Gestix Módulo de Produção

Gestix Módulo de Produção Gestix Módulo de Produção 1 Índice de conteúdos Introdução...3 O Menu de Produção...4 Modelo de Produto...5 Ordem de Produção...5 Ordem de Produção sem Modelo de Produto...5 Ordem de Produção a partir

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Especificações do Trabalho Prático de Informática I

Especificações do Trabalho Prático de Informática I Especificações do Trabalho Prático de Informática I (Contabilidade/Marketing e Comércio Internacional) Ano Lectivo 2002/2003 Cada grupo (4 elementos) deverá definir a entidade alvo do estudo (que poderá

Leia mais

Curso de C. Introdução by Arnaldo V. Moura e Daniel F. Ferber 3/10/ :43 AM

Curso de C. Introdução by Arnaldo V. Moura e Daniel F. Ferber 3/10/ :43 AM Curso de C Introdução 1 Introdução Roteiro: Recordando Algoritmos Linguagem de Programação O computador Instruções de Máquina Níveis de Abstração Compilação 2 Algoritmos Recordando: Algoritmo: conjunto

Leia mais

Apresentador Gráfico - Microsoft PowerPoint. Apresentação de Diapositivos - 90 min. 1. Criação de transições entre diapositivos

Apresentador Gráfico - Microsoft PowerPoint. Apresentação de Diapositivos - 90 min. 1. Criação de transições entre diapositivos Apresentador Gráfico - Microsoft PowerPoint Apresentação de Diapositivos - 90 min Ficha orientada nº5 Objectivos: Criação de transições entre diapositivos Aplicação de efeitos de animação Definição de

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

18/10/2010. Unidade de Controle Controle. UC Microprogramada

18/10/2010. Unidade de Controle Controle. UC Microprogramada Arquitetura de Computadores Unidade de Controle Controle Microprogramado Prof. Marcos Ribeiro Quinet de Andrade Universidade Federal Fluminense - UFF Pólo Universitário de Rio das Ostras - PURO UC Microprogramada

Leia mais

8 o Projecto: Autenticação com S/Key

8 o Projecto: Autenticação com S/Key Segurança Informática e nas Organizações 2011-12 8 o Projecto: Autenticação com S/Key V 1.0 1 Introdução Com este trabalho pretende-se estudar a configuração e exploração de autenticação com senhas descartáveis

Leia mais

Agrupamento de Escolas Templários

Agrupamento de Escolas Templários Agrupamento de Escolas Templários Guião do utilizador Início Na página inicial do GIAE Online poderá aceder à área pessoal (netgiae) e a área geral (netalunos). O GIAE Online é uma aplicação instalada

Leia mais