1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

Tamanho: px
Começar a partir da página:

Download "1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS"

Transcrição

1 TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação de circuitos digitais em VHDL. Este trabalho é considerado para avaliação de conhecimentos. No início da aula cada grupo deverá impreterivelmente mostrar ao docente a resposta a todas as questões referidas na secção 2 e 3. Poderá realizar as secções 3 e 4 durante a aula de laboratório. No entanto, dado o pouco tempo de laboratório, recomenda-se que realize todo o trabalho em casa, usando a aula de laboratório apenas para testar o circuito na placa de prototipagem (subsecções 4.7 e 4.8). O trabalho deverá ser submetido no Fénix até às 23h59m de Sexta-Feira, dia 15 de Novembro em formato ZIP e deverá incluir o relatório (em formato PDF) e os ficheiros VHDL e SCH usados na elaboração do trabalho de laboratório. Na secção 5 é explicada a estrutura que o relatório deverá seguir. Como preparação prévia, deve ser feita uma leitura cuidada aos slides de introdução a VHDL ( Aula Temática: VHDL ) e ao documento Introdução ao Ambiente de Projecto da Xilinx disponível na página da cadeira. Deve ter consigo nesta e nas aulas de laboratório seguintes cópias dos documentos Introdução ao Ambiente de Projecto da Xilinx e Guia de Implementação de Circuitos na Placa de Desenvolvimento os quais deverá utilizar como manuais de utilização. 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO O esquema da Figura 1 implementa um circuito sequencial constituído por 3 Flip-Flops (FF s) tipo D (com entradas de Set e Reset assíncronas) e por lógica combinatória adicional. SEL 0 MUX2:1 (M2_1) 1 nq0 D2 1D Q Q2 D1 1D Q Q1 D0 1D Q Q0 CLK S2 R2 C1 S R FFD CLK S1 R1 C1 S R FFD CLK S0 R0 C1 S R FFD INI B2 B1 B0 Figura 1 - Circuito sequencial básico. 1 P á g i n a

2 Considere que a entrada B=< b 2,b 1,b 0> corresponde ao dígito menos significativo do número de aluno com menor valor, quando este número está representado em base 8. Por exemplo, para um grupo formado pelos elementos 76143= (8) e 77188= (8), a constante B é De acordo com as suas previsões teóricas, e considerando INI=0, construa a tabela de verdade do circuito indicando, para cada um dos estados (i.e., para cada valor possível à saída dos FF s), o valor do estado seguinte (i.e., o valor à saida dos flip-flops após o próximo flanco de relógio). Justifique Indique qual a função da entrada INI e qual o estado dos flip-flops (FF s) quando INI=1. Justifique Para o circuito descrito complete o diagrama temporal da Figura 2, de acordo com as previsões teóricas do funcionamento deste circuito obtidas em 2.1 (considere que os tempos de propagação dos FF s e das portas lógicas são desprezáveis face ao período de relógio). Justifique todas as transições observadas em cada um dos sinais. CLK INI Q2 Q1 Q0 SEL D2 D1 D0 Figura 2 - Diagrama temporal a completar. 2 P á g i n a

3 3. SIMULAÇÃO DE UM CIRCUITO SEQUENCIAL BÁSICO 3.1. Usando a ferramenta Xilinx ISE, descreva o circuito da figura 1 em VHDL. Para tal: a) Crie um novo projecto no Xilinx ISE e importe através de Project Add Copy Of Source todos os ficheiros VHDL indicados na Tabela 1. b) Crie um novo módulo VHDL circuito através de Project New Source, com entradas CLK (tipo std_logic), INI (tipo std_logic) e B (tipo std_logic_vector(2 downto 0)), e saída Q (tipo std_logic_vector(2 downto 0)). c) Utilizando apenas a biblioteca de portas lógicas disponibilizada, descreva o circuito em VHDL. Para utilizar a biblioteca de portas lógicas descreva todos os componentes da figura 1 através da instanciação de um dos ficheiros disponibilizados. Por exemplo, para descrever o multiplexer deverá fazer: <nome_instancia>: bloco_mux2 port map(d0=>q(0), D1=>nQ0, SEL=>SEL, Y=>D(0)); Nota: anexe ao relatório o código VHDL do circuito. Tabela 1 - Biblioteca de portas lógicas (permitida apenas para simulação). Ficheiro VHDL Componente Função lógica Caracterização temporal porta_not.vhd porta_not NOT T P = 3 ns porta_and2.vhd porta_and2 AND2 T P = 6 ns porta_or2.vhd porta_or2 OR2 T P = 6 ns porta_xor2.vhd porta_xor2 XOR2 T P = 9 ns porta_xnor2.vhd porta_xnor2 XNOR2 T P = 9 ns bloco_mux2.vhd bloco_mux2 MUX 2:1 T P = 12 ns mem_ffdrs.vhd mem_ffdrs Flip-Flop tipo D com reset e set assíncronos T SU = 1 ns ; T P = 4 ns 3.2. De forma a comprovar que a sequência de estados determinada na secção 2 está correcta, faça uma simulação do circuito VHDL da alínea anterior e observe a sequência de valores do sinal Q. Para tal faça Project New Source VHDL Test Bench e considere que: a) O sinal B tem o valor determinado no início da secção 2. b) O sinal INI está activo (valor lógico 1 ) durante os primeiros 45 ns, passando depois para o valor lógico 0. c) O período de relógio do sinal CLK é de 40ns. Nota: apresente a simulação no relatório e apresente em anexo o código VHDL usado na simulação do circuito Reduza o período de relógio do sinal CLK primeiro para 20ns e depois para 10ns. Para cada caso determine a sequência de estados (sinal Q) observada. Apresente no relatório as simulações e justifique as diferenças obervadas da sequência de estados face ao esperado. Sugestão: observe na simulação os sinais internos: D=<D(2),D(1),D(0)> e compare com o diagrama da alínea Considerando que os tempos de propagação e de setup apresentados na Tabela 2, indique a expressão da frequência máxima de relógio do circuito. 3 P á g i n a

4 Tabela 2 - Caracterização temporal genérica para os elementos da Figura 1. Função lógica Tempo de propagação Tempo de setup NOT ( ) - AND2 ( ) - XOR2 ( ) - MUX 2:1 ( ) - Flip-Flop tipo D ( ) ( ) 4. IMPLEMENTAÇÃO DO CIRCUITO 4.1. Considere a implementação do circuito da figura 1 descrita no ficheiro sequential.sch disponível na página da disciplina (ver figura 3). a) Importe, através de Project Add Copy Of Source, o ficheiro sequential.sch disponível na página da disciplina. b) Altere o esquema de forma a contar o número de vezes que o sinal Q passa pelo valor '0'. Para tal, adicione ao esquema os componentes indicado na figura 4. Figura 3 - Esquema eléctrico do circuito no Xilinx ISE, o qual é funcionalmente equivalente ao diagrama lógico apresentado na figura 1. Note que no editor de esquemas do Xilinx ISE, para fazer a ligação entre dois fios, basta dar o mesmo nome aos dois. Assim, a entrada de Set e de Reset de cada um dos flip-flops é indicada pela saída das portas lógicas AND2 e AND2B1 apresentadas no topo do esquema. Note ainda que as entradas e saídas do circuito são dadas pelo símbolo. 4 P á g i n a

5 Figura 4 Esquema do circuito de contagem Para a implementação do circuito foram disponibilizados um conjunto de ficheiros (disponíveis na página da cadeira e na pasta /opt/basys da máquina virtual), os quais se apresentam na Tabela 3. Tabela 3 - Ficheiros disponibilizados para a implementação do circuito nas placas Basys (LE3) e Basys2 (LSD1). Nome do ficheiro Descrição sd.sch Esquema principal. Basys.ucf ou Basys2.ucf clk_div.vhd clk_div.sym disp7.vhd disp7.sym Ficheiro de configuração das portas use o ficheiro correspondente à placa que tem na bancada, Basys (laboratório LE3) ou Basys2 (Laboratório LSD1). Divisor de Frequência - especificação. Divisor de Frequência - símbolo. Bloco de controlo do display de 7 segmentos - especificação. Bloco de controlo do display de 7 segmentos - símbolo. Não modifique os nomes destes ficheiros Adicione ao projecto os ficheiros sd.sch, Basys.ucf ou Basys2.ucf, clk_div.vhd e disp7.vhd com Project Add Copy of Source (os ficheiros com extensão.sym serão importados automaticamente) Abra o esquema do módulo sd clicando duas vezes em cima do ficheiro sd.sch. Nota: se ao abrir o esquema lhe aparecer uma janela com a mensagem: Open Schematic File Errors Out-of-date Symbols clique em Update Instances e em OK. 5 P á g i n a

6 Este projecto não é mais do que uma interface para o aluno: as entradas e saídas já estão configuradas de acordo com o modelo do dispositivo utilizado na placa de desenvolvimento. Funciona como uma placa de prototipagem virtual. Nota: Não altere o conteúdo das caixas indicadas a vermelho nem os nomes dos marcadores de entrada/saída. À esquerda do esquema estão as interfaces de entrada correspondentes aos vários interruptores disponíveis na placa. À direita tem as saídas correspondentes aos 4 displays de 7 segmentos (acendem o símbolo hexadecimal correspondente ao número binário de 4 bits respectivo) e aos leds simples. No esquema pode deixar os sinais dos botões de entrada que não usa no ar (o programa elimina-as automaticamente). No entanto, para todas as interfaces de saída (caixa vermelha à direita), deve ligar todas as entradas que não usa a Gnd. Utilize o símbolo Gnd para fixar sinais a '0', e o símbolo Vcc para fixar sinais a '1'. Crie um símbolo para o esquema do circuito projectado na secção 3 e adicione-o ao esquema do ficheiro sd.sch Realize as seguintes ligações no editor de esquemas: a) Ligue o sinal de relógio CLK ao sinal clk_slow; este sinal tem uma frequência de 0,8Hz, o que permite visualizar as mudanças de estado. b) Ligue o relógio do display de 7 segmentos (unidade disp7) à saída clk_disp da unidade clkdiv. c) Ligue a entrada INI ao buffer do botão de pressão sw0. d) Ligue os sinais Q2, Q1 e Q0 aos buffers dos LEDs Led2, Led1 e Led0, respectivamente. e) Ligue os sinais Q2, Q1 e Q0 ao dígito 4 do display de 7 segmentos, i.e., aos portos disp4_2, disp4_1 e disp4_0 da unidade lógica disp7, respectivamente. Ligue o porto disp_4_3 à massa (ground) de forma a atribuir o valor lógico 0. f) Ligue o valor de contagem C=<c 3,c 2,c 1,c 0> ao dígito 1 do display de 7 segmentos, i.e., aos portos disp1_3, disp1_2, disp1_1 e disp1_0 da unidade lógica disp7, respectivamente. g) Active a escrita nos dígitos 4 e 1 do display de 7 segmentos, colocando as entradas aceso4=1, aceso3=0, aceso2=0 e aceso1= Gere o ficheiro sd.bit que deverá usar para implementar o circuito na placa de desenvolvimento. Para tal, siga as instruções no guia Guia de Implementação de Circuitos na Placa de Desenvolvimento. Note que o interruptor da placa deve estar na posição ON. Nota: durante a síntese do circuito na placa de desenvolvimento, a ferramenta poderá indicar um conjunto de avisos (warnings) e erros. Os erros deverão ser todos corrigidos; os warnings podem em geral ser ignorados, sendo que alguns são originados pelo facto de ter entradas/saídas no ar Verifique o funcionamento correcto do circuito. Mostre-o ao docente. Comente Explique o que acontece nos LEDs e o porquê dos caracteres que visualiza nos displays. 6 P á g i n a

7 5. AVALIAÇÃO DO TRABALHO DE LABORATÓRIO Na avaliação do trabalho de laboratório será tido em conta as seguintes componentes: (30%) Preparação e resposta às questões da secção 2. (30%) Resposta às alíneas da secção 3, incluindo ficheiros VHDL e simulações. (20%) Apresentação do esquema completo da secção 4 e funcionamento do circuito na aula de laboratório. (20%) Estrutura, apresentação e qualidade do relatório. O relatório deverá usar o seguinte conjunto de regras: Páginas: Máximo de 10 páginas A4 (excluindo anexos), incluindo uma página de capa com a indicação do turno de laboratório, do nome do docente responsável pelo turno, e do nome e número dos elementos do grupo. Páginas numeradas, preferencialmente com cabeçalho, e margens não inferiores a 2cm. Letra da família sans-serif (Arial, Verdana, Helvetica, Tahoma, Cambria, Calibri ou Trebuchet MS). Não deverão ser usadas fontes das famílias cursive ou fantasy, excepto para representar símbolos. Pode, se desejar, usar uma fonte da família monospace (ex.: Courier) para indicar sinais físicos. Tamanho da letra de fácil leitura e nunca inferior a 10pt. Figuras e tabelas: As figuras (p. ex.: esquemas) poderão ser feitos num programa de edição de imagens (p. ex.: MS Visio, Omnigraffle, Inkscape,...) ou manuscritas, digitalizadas (com scan ou máquina fotográfica/telemóvel) e inseridas nos espaços correspondentes do relatório. No entanto as figuras deverão estar em estado apresentável (limpas, sem rabiscos ou rascunhos, facilmente perceptíveis e com tamanho de letra não inferior à do relatório). As figuras deverão ser necessariamente enumeradas, acompanhadas de legenda (a legenda deverá explicar sucintamente o que se observa na figura) e ser referenciadas no texto. Ficheiros VHDL e SCH: Os ficheiros VHDL correspondentes à descrição dos circuitos e da simulação (Test Bench) deverão ser incluidos em anexo, usando uma fonte do tipo monospaced (ex.: Courier New). No entanto, deve haver uma referência no corpo do relatório para ficheiros VHDL anexos. Nota: as páginas referentes a anexos não são contabilizadas para o limite das 10 páginas. A simulação dos Test Benches deve ser obrigatoriamente incluída no corpo do relatório (e não em anexo). Estas deverão ser numeradas usando uma legenda do tipo Figura e referenciadas no texto, explicando sucintamente o que se observa. Todos os esquemas no Xilinx ISE realizados no contexto da secção 4 devem ser incluídos no relatório (e não em anexo) de forma legível. Para fazer um print screen podem ser usados quaisquer programas adicionais, tal como a ferramenta de recorte do Windows (Snipping Tool). Submissão do ficheiro no Fénix: O trabalho deverá ser submetido em formato ZIP, o qual deverá incluir: (a) corpo do relatório e anexos em formato PDF; (b) ficheiros VHDL e SCH (versão final) usados na elaboração do trabalho de laboratório. 7 P á g i n a

8 Cabe aos alunos confirmar que o ficheiro foi correctamente submetido no sistema fénix, devendo para isso fazer download do ficheiro submetido e abrir com o Adobe Acrobat Reader. A submissão deverá ser feita até às 23h59m de Sexta-Feira, dia 15 de Novembro de 2013, no link correspondente à entrega regular. Caso pretendam, os alunos poderão entregar uma nova versão depois do prazo indicado, usando o link correspondente à entrega fora de prazo. Nesse caso sofrerão uma penalização de 2 valores por cada dia de atraso. Caso sejam submetidos múltiplos ficheiros, apenas será tomado em consideração o último ficheiro submetido. O não cumprimento das regras será penalizado na nota final do laboratório (ex: penalização de 2 valores por página adicional). O relatório deverá ainda ter a seguinte estrutura: 1. INTRODUÇÃO Breve introdução aos objectivos do trabalho realizado. 2. FUNCIONAMENTO TEÓRICO Respostas às perguntas da Secção 2.1 a SIMULAÇÃO DO CIRCUITO Respostas às alíneas 3.1 a 3.4, incluindo apresentação das simulações efectuadas. 4. IMPLEMENTAÇÃO DO CIRCUITO Respostas às perguntas da secção 4 do enunciado e comentários referentes à implementação do circuito na placa de desenvolvimento Basys/Basys2. 5. CONCLUSÕES Comentário acerca do trabalho realizado e dos resultados obtidos experimentalmente. ANEXOS Ficheiros VHDL referentes à secção 3. 8 P á g i n a

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias para resolução de

Leia mais

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A.

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A. TRABALHO DE LABORATÓRIO III CIRCUITO EQUCIAI 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projeto e simulação de

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 3.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

(LABORATÓRIOS L1 E L2)

(LABORATÓRIOS L1 E L2) TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS (LABORATÓRIOS L1 E L2) 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias,

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando circuitos

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar:

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar: TRABALHO DE LABORATÓRIO V MÁQUINA DE VENDAS AUTOMÁTICA MULTI-UTILIZADOR 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito que simula o funcionamento de uma máquina de venda

Leia mais

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO 2.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS VERSÃO 3. INTRODUÇÃO Pretende- se com este trabalho que os alunos analisem e projetem um circuito de processamento de dados constituído por

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 1.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Documentos MS Word acessíveis

Documentos MS Word acessíveis Documentos MS Word acessíveis Este guia pretende ajudar na produção de documentos acessíveis em Microsoft Word de âmbito académico, para serem distribuidos em formato eletrónico ou impressos. As boas práticas

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Introdução ao Laboratório 1 Objectivos O objectivo do 1 o trabalho de laboratório é efectuar a adaptação ao ambiente

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5 Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº5 CIRCUITOS SEQUENCIAIS: CONTADORES 1.. Objetivos: Verificar o funcionamento

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um

Leia mais

Documentos MS Word acessíveis

Documentos MS Word acessíveis Documentos MS Word acessíveis Este guia pretende ajudar na produção de documentos acessíveis em Microsoft Word de âmbito académico, para serem distribuidos em formato eletrónico ou impressos. As boas práticas

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

Especificação das Atividades Práticas

Especificação das Atividades Práticas Especificação das Atividades Práticas As seguintes atividades práticas devem ser conduzidas pelos alunos desta disciplina: Código Descrição Prazo Peso A1 Definição do tema de pesquisa e revisão bibliográfica

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Trabalho Prático Nº3 Porta Paralela

Trabalho Prático Nº3 Porta Paralela Trabalho Prático Nº3 Porta Paralela 1. OBJECTIVOS - Utilização da porta paralela como porto genérico de Entrada e Saída. 2. INTRODUÇÃO A porta paralela é um meio frequentemente utilizado para efectuar

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 5-6 8 de Janeiro de 6, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro UNIVERSIDADE DE SÃO PAULO Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação - SSC Sistemas Digitais 2 o Semestre Projeto CPU Data da apresentação: 26/27 de outubro

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 1 e tem a duração de 1h3m. iii. O exame contempla todas

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: Circuitos Sequenciais Básicos: Flip-Flops Flip-Flops (Flip-flop master-slave, Flip-flop JK,

Leia mais