Teste 2 Sistemas Digitais - MEEC 2009/10 1

Tamanho: px
Começar a partir da página:

Download "Teste 2 Sistemas Digitais - MEEC 2009/10 1"

Transcrição

1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em conta os tempos de propagação indicados para os elementos de memória. Justifique. Mem D C X Mem2 Mem Mem2 Mem3 t SETUP ns ns ns t HOLD ns ns ns 4 ns ns 2 ns 3 ns 2 ns 4 ns D C Mem3 Y J K W C Mem Flip-Flop D Mem2 Latch D Mem3 Flip-Flop JK

2 Teste 2 Sistemas Digitais - MEEC 29/ 2 2. [2 val] Considere o circuito da figura. Preencha a tabela abaixo indicando quais os valores que tem de impôr nas entradas, antes de cada transição de relógio, de modo a o circuito realizar a sequência:. Iniciar o registo no estado Q=7. 2. Passar ao estado Q=4. 3. Passar ao estado Q=3. 4. Passar ao estado Q=6. Justifique. cção B C E F J K ou s entradas assinaladas com - (don t care) podem ser ou. Carregamento paralelo de 7: Modo, D=. 2. De estado 7= para 4= - Deslocamento à esquerda, com entrada série : Modos 2 e 3, e Entrada 5 activa. 3. De estado 4= para 3= - Deslocamento à esquerda, com entrada série : Modos 2 e 3, e Entrada 5 activa.. 4. De estado 3= para 6= - Deslocamento à direita, com entrada série : Modos 2 e 4, e Entrada 5 activa. ou - Carregamento paralelo de 6: Modo, D=.

3 Teste 2 Sistemas Digitais - MEEC 29/ 3 3. a) [2 val] Utilize os 2 contadores da figura para realizar um contador decimal que concretize um ciclo de contagem entre e 7 (módulo 8). (Note que um contador decimal conta em BCD - Binary Coded Decimal) Utilize o mínimo de portas lógicas adicionais. Justifique indicando todos os passos que seguiu até chegar ao circuito final. b) [ val] Inclua uma entrada (e lógica) de inicialização que, quando activa, permita colocar o circuito no estado 2 (sem alterar a sequência normal de contagem do circuito). Justifique. a) O número decimal é constituído por 2 dígitos D (dezenas) e D (unidades). O sinal Det9 detecta que o contador das unidades chegou a 9, caso em que activa a contagem no contador das dezenas e faz com que o estado seguinte do contador das unidades seja o. O sinal Det7 detecta que o contador chegou a 7. O Modo (Carregamento Paralelo) é activado (a zero), se: Contador Unidades Det9 ou Det7, logo M = Det9 + Det7 Contador Dezenas M activado a zero se Det7, logo M = Det7 b) Entrada INI activa activa o Modo (Carregamento Paralelo), e faz o contador passar a 2 carregando 2 no contador das unidades e no contador das dezenas. Unidades: Dezenas: M = Det9 + Det7 + INI M = Det7 + INI Unidades Dezenas CTR DIV 6 CTR DIV 6 INI M M2 3CT=5 G3 C4 / 2,3+ Det9 M M2 3CT=5 G3 C4 / 2,3+,4D [] d,4d [] d [2] d [2] d [4] d 2 [4] d 2 [8] d 3 [8] d 3 Det7

4 Teste 2 Sistemas Digitais - MEEC 29/ 4 4. O diagrama de estados da figura descreve o comportamento de um circuito sequencial síncrono com uma entrada E e duas saídas Y e Y. O estado é codificado pelas saídas de dois flip-flops, Q e Q, conforme indicado na tabela. / a) [,5 val] Complete a tabela de transições de estados em baixo (os valores de Q e Q são dados pelas saídas de dois flip-flops do tipo D). Justifique. b) [,5 val] Obtenha as expressões para D, D e Y, Y em função de Q, Q e E. Justifique. c) [ val] Esboce o logigrama correspondente à concretização do diagrama de estados apresentado. (use as costas da folha se necessitar) / C / / B Estado ctual Entrada Saídas Estado Seguinte Q Q (n) E Y Y Q Q (n+) D D C B B B C C / / E E E E Q Q Y Y = Q + E = Q E D = Q E D = Q E X X X X X X X X Y Y D D

5 Teste 2 Sistemas Digitais - MEEC 29/ 5 5. Considere o circuito da figura ao lado, que realiza a máquina de estados especificada pelo diagrama abaixo. / C/ B/ / t SETUP t HOLD FF D 3 ns ns ns ns ND 7 ns 7 ns OR 5 ns 5 ns NOT 2 ns 2 ns E D C D C D C Q2 Q Q Y Y a) [ val] Indique qual a codificação de estados utilizada, completando a tabela abaixo. Justifique. b) [ val] Este circuito tem lockout? Justifique. c) [2 val] Considerando as características temporais dos elementos de circuito indicadas, calcule a frequência máxima de relógio para que o circuito funcione correctamente. Justifique. a) Codificação one-hot. Codificação Estado Q2 Q Q B C Do diagrama de estados: Y =Q +Q C Y =Q B +Q C Do logigrama: Y =Q 2 +Q Y =Q +Q Portanto, Q Q 2 Q B Q Q C Q b) Se E=, então D 2 =, D =, D =, portanto é possível forçar o estado, logo não há lockout. c) Existem 3 caminhos de propagação de sinal entre os FF (em todos os elementos de circuito, = ), portanto: FF2 FF: t P2 = t P_FFD2 + t P_OR + t P_ND = 22ns FF FF: t P = t P_FFD + t P_OR + t P_ND = 22ns FF FF: t P = t P_FFD + t P_ND = 7ns T min = max(t P2 +t SETUP_FF ; t P +t SETUP_FF ; t P +t SETUP_FF ) = 25ns f max = /T min = /25 GHz = 4 MHz

6 Teste 2 Sistemas Digitais - MEEC 29/ 6 6. [2 val] Pretende-se realizar uma máquina de Moore que detecta se um inteiro, representado em complemento para 2 por um bloco de 3 bits, pertence ao intervalo [-3; +]. Os bits são apresentados em série à máquina, numa única entrada, começando pelo de maior peso. o receber o bit menos significativo a máquina activa a saída durante um período de relógio se e só se o número estiver na gama pretendida, e passa a processar o bloco de 3 bits seguinte (ou seja, o sistema opera sem sobreposição). Esboce o diagrama de estados que concretiza a máquina especificada. Indique o que cada estado representa. Explique sucinta mas claramente o funcionamento da máquina de estados. saída é activada caso a palavra de 3-bits seja: + = = - = -2 = -3 = Estado I: Estado inicial. Estado Z: O bit mais significativo é. Estado M: Os 2 bits mais significativos são ou. O número está dentro do intervalo, qualquer que seja o bit menos significativo. Estado D: Foram recebidos os 3 bits e o número está dentro do intervalo. Estado U: O bit mais significativo é. Estado T: Os 2 bits mais significativos são. Estado E: Os 2 bits mais significativos são. O número está fora do intervalo, qualquer que seja o bit menos significativo.

7 Teste 2 Sistemas Digitais - MEEC 29/ 7 7. [2 val] O circuito da figura engloba duas ROMs cujo conteúdo se encontra tabelado. Indique os valores observados no barramento de dados D 3 D para as combinações apresentadas abaixo nas linhas 3. Justifique. Endereço ROM ROM EN ROM 8x4 7 D D D 2 D 3 ROM ROM 8x4 2 7 EN ROM2 O sinal activa uma ou outra das ROMs: E= ROM activa, ROM2 inactiva E= ROM inactiva, ROM2 activa Os sinais 3 2 endereçam as posições de memória de cada um dos blocos. 3 2 D 3 D 2 D D Conteúdo da posição da ROM2 Conteúdo da posição 5 da ROM Conteúdo da posição 5 da ROM2 Conteúdo da posição 7 da ROM

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo.

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo. Exame Sistemas igitais - MEE 8/9. [,5 val] onverta (justificando) o número () para: a) Hexadecimal b) ecimal c) {{{ = 5(6) 5 9 = + + + + + = 5 + 8+ 6 + 6 + + = 75 7 () 6 75 7 5 ( ) = {{{ ( ). [,5 val]

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de ª Época - 24 de Janeiro de 2 Antes de começar

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

Sistemas Digitais (SD) Contadores

Sistemas Digitais (SD) Contadores Sistemas Digitais (SD) Contadores Aula Anterior Na aula anterior: Registos Registos simples Banco de registos Registos de deslocamento Registos multimodo 2 Planeamento SEMANA TEÓRICA TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 2 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de h3m. iii. O exame

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2018, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de hm. iii. O exame contempla todas as perguntas

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 1 e tem a duração de 1h3m. iii. O exame contempla todas

Leia mais

SISTEMAS DIGITAIS CONTADORES

SISTEMAS DIGITAIS CONTADORES CONTADORES Setembro de 4 CONTADORES - 2 SUMÁRIO: CONTADORES SÍNCRONOS CONTADORES DE MÓDULO 2 N PROJECTO DE CONTADORES FREQUÊNCIA MÁIMA DE FUNCIONAMENTO SITUAÇÃO DE LOCKOUT SIMBOLOGIA CONTADOR EM ANEL CONTADOR

Leia mais

SÉRIE DE PROBLEMAS: CIRCUITOS SEQUENCIAIS SÍNCRONOS

SÉRIE DE PROBLEMAS: CIRCUITOS SEQUENCIAIS SÍNCRONOS A 1) Analise o circuito da Fig. 1 e descreva o seu funcionamento. Fig. 1 2) Analise o circuito da Fig. 2 e descreva o seu funcionamento. Fig. 2 3) Analise o circuito da Fig. 3 e descreva o seu funcionamento.

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2019, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por páginas, e tem a duração de h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Teste 1 Sistemas Digitais - MEEC 2010/11 1

Teste 1 Sistemas Digitais - MEEC 2010/11 1 Teste Sistemas Digitais - MEEC 2/. [ val] Converta para base 2 o número hexadecimal 93C7. Justifique. 93C 76 = {{{{ 9 3 C = 2 7 2. [4 val] Considere a função lógica F(x 3,x 2,x,x )concretizada pelo circuito

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais Saídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABRE sistema digital de controlo

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 12 páginas. ii. O teste contempla as perguntas 5, 6, 7, e 9 e tem a duração de 1h3m. iii. O exame contempla todas as

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 5-6 8 de Janeiro de 6, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H)

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) 1 UFCD 6024 Circuitos lógicos Carga horária: 25 horas Caracterizar as diferentes bases de numeração. Representar números nas bases

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração de hm. iii. O exame contempla todas as perguntas

Leia mais

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop )

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop ) Células de memória síncronas ESV-ESI-Sistemas igitais-fundamentos dos Circuitos Sequenciais (2) 1/14 As células de memória síncronas reagem de forma sincronizada com um sinal de relógio ( Clock -), o qual

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: Circuito de dados Circuito de controlo Implementação

Leia mais

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS Módulo 4 Prof. Celso CIRCUITOS SEQÜÊNCIAIS s São estágios através dos quais um circuito seqüencial avança. Em cada estado o circuito armazena informação sobre sua história passada de modo que possa saber

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

Pedro Tomás Horácio Neto

Pedro Tomás Horácio Neto MEE/MEFT/MEAer 5/6 Pedro Tomás Horácio Neto 5/6 APÍTULO I ONVERSÃO DE NÚMEROS Problema.. Escreva as potências de desde - até 5, e ainda 5 e 5. Problema.. a) onverta para base o número (). b) onverta ()

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00

TESTE. SISTEMAS DIGITAIS MEEC de Novembro de 2013, 20:00 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 7 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:, B, C e D. iv. O teste

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de 2ª Época - 2 de Fevereiro de 200 Antes de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais aídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABE sistema digital de controlo

Leia mais

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS Sel 414 - Sistemas Digitais Prof. Homero Schiabel Síntese Sist. Síncronos Contagem = 0 Saídas: Z 1 = 0 Z 0 = 0 Contagem = 3 Saídas: Z 1 = 1 Z 0 = 1 A/00 D/11 Contagem

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia Sistemas igitais, 2ª chamada - 5/Jul/2000 (Prova ) Página 1 Universidade do Porto Faculdade de Engenharia Sistemas igitais (1999/2000) 2ª chamada - 5/Julho/2000 uração: 2h 30m, sem consulta. ntes de iniciar

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais