Circuitos sequenciais

Tamanho: px
Começar a partir da página:

Download "Circuitos sequenciais"

Transcrição

1 Circuitos sequenciais Saídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABRE sistema digital de controlo CHEIO VAZIO nível máximo nível mínimo CHEIO VAZIO ABRE ou 1 d (nunca ocorre) saída de água o valor da saída ABRE depende do que aconteceu antes nas entradas 146 Circuitos sequenciais têm memória A memória guarda a história das entradas até agora não significa uma lista interminável de zeros e uns... a história das entradas é codificada no estado do sistema o estado é armazenado em elementos de memória contém a informação a reter dos valores anteriores das entradas No exemplo anterior sem memória seria impossível realizar o controlo de nível mesmo se fosse feito à mão por uma pessoa!... basta um bit para representar o estado: a encher ou a esvaziar MIEEC - FEUP/DEEC 1

2 Variáveis de estado (num circuito digital) O estado é codificado em um ou mais bits a estes bits chamam-se variáveis de estado são guardados em memórias digitais (flip-flops) a estudar nos próximos episódios... geralmente são internos ao circuito Num sistema sequencial com N variáveis de estado existem 2 N estados diferentes como N é finito, o número de estados também é finito Chamam-se máquinas de estados finitos em Inglês Finite State Machine - FSM 148 Como funciona? Num circuito sequencial (máquina de estados) para além do estado interno tem entradas e saídas entradas saídas estado O estado actual e as entradas definem: quais são os valores das saídas qual vai ser o próximo estado MIEEC - FEUP/DEEC 2

3 Como funciona? (2) Os estados mudam a intervalos regulares sincronizados com as transições de um relógio período T (frequência=1/t Hz) Modelo conceptual: t L t H duty-cycle=t H /T (ciclo útil) entradas saídas estado presente relógio estado (memória) próximo estado 15 Elementos de memória Circuitos bi-estáveis portas lógicas normais com realimentação as saídas sustentam valores nas entradas O circuito bi-estável mais simples: (as memórias estáticas são feitas assim!),n pode ser 1, ou,1 n MIEEC - FEUP/DEEC 3

4 Análise analógica V out V in V out V in V in1 1 V out1 V out1 V in2 pontos estáveis V in2 2 V out2 instável! V in1 V out2 152 Latch set/reset Tp Tp R S R N ant. N ant N 1 1 (inválido) S S R N : qual a duração mínima do pulso em S ou R? t MIEEC - FEUP/DEEC 4

5 Latch set/reset activando a entrada S (set) é colocado =1 activando a entrada R (reset) é colocado = símbolo: S S R N R Exemplo: controlo do nível de água com uma latch set/reset: ABRE R CHEIO N S VAZIO 154 Latch set/reset com enable S EN R N EN S R N ant. N ant. 1 ant. N ant (inválido) símbolo: S EN R N : o que acontece se R=S=1 e EN passa de 1 para? MIEEC - FEUP/DEEC 5

6 Latch tipo D (ou transparente) D EN D N ant. N ant. EN 1 1 N símbolo: D EN D EN N uando EN=1 a latch é transparente (=D) uando EN passa de 1 para, a saída memoriza o seu estado Parâmetros temporais de uma latch tipo D: t setup e t hold 156 edge-triggered D flip-flop (flip-flop tipo D) D D EN N 1 D 1 2 EN N N D N ant. N ant. 1 ant. N ant D 1 latch 1 é transparente aqui latch 2 é transparente aqui MIEEC - FEUP/DEEC 6

7 flip-flop tipo D quando sobe captura o valor na entrada D símbolo: D D N N indica que é edge-triggered activo na transição negativa parâmetros temporais: t setup e t hold D t setup t hold : o que acontece se estes tempos forem violados? 158 Outros flip-flops edge-triggered tipo T (toggle): tipo JK: T N J K N T ant. 1 ant. J K ant ant. outras entradas de controlo: com enable, com set ou reset assíncronos MIEEC - FEUP/DEEC 7

8 Equação característica de flip-flops * representa o próximo valor para * é função de e das entradas de controlo: flip-flop tipo D: * = D copia para o que está em D flip-flop tipo T: * = T.+T. se T= mantém, se T=1 troca o estado de flip-flop tipo JK: * =.J+.K se J=K= mantém ; se J=K=1 troca o valor de ; se J=1 e K= coloca =1; se J= e K=1 coloca = 16 Síntese de máquinas de estados Exemplo: circuito com uma entrada e saída Y Y=1 quando é detectada em a sequência 111 o valor de é lido nas transições -> 1 de Y MIEEC - FEUP/DEEC 8

9 Diagrama de transição de estados transição entre estados (quando ) = INI Y= estado inicial chama-se INI =1 condição de transição entre estados = S2 Y= = = S3 S1 =1 =1 Y= Y= =1 estado nome do estado : qual é a história que cada estado representa? = =1 OK Y=1 valor da saída em cada estado 162 Significado de cada estado (no exemplo apresentado) Cada estado resume os valores anteriores de : INI: não foi detectado nada de interesse (inicial) S1: foi detectado =1 S2: foi detectada a sequência 1 na entrada S3: foi detectada a sequência 11 na entrada OK: foi detectada a sequência 111 Y=1 E se as sequências não forem sobrepostas? sempre que detecta 111 esquece tudo: estado INI MIEEC - FEUP/DEEC 9

10 Tabela de transição de estados = = S2 Y= INI Y= = = =1 = =1 S3 Y= =1 S1 Y= =1 =1 próximo estado se = próximo estado se =1 S* S = =1 Y INI INI S1 S1 S2 S1 S2 INI S3 S3 S2 OK OK S2 S1 1 OK Y=1 estado actual valores da saída 164 Modelo da máquina de estados Y(S) Y S*(,S) S variáveis de estado (guarda o estado) S* (modelo de Moore: a saída só depende do estado presente) MIEEC - FEUP/DEEC 1

11 Codificação de estados Os estados devem ser codificados em binário o estado presente é armazenado numa memória a memória de estado é realizada com flip-flops podem ser flip-flops tipo D, T ou JK devem ser usados mecanismos para garantir o estado inicial usando flip-flops com controlo de set ou reset assíncrono codificar N estados requer log 2 (N) flip-flops no nosso exemplo são necessários 3 flip-flops de quantas maneiras é possível codificar os 5 estados? a escolha do melhor conjunto de códigos é um problema muito complexo. 166 Codificação de estados codificando os estados em binário: Y circuitos combinacionais: Y=F(S) S*=G(,S) reset S variáveis de estado S* flip-flops edge-triggered (tipo D, T ou JK) MIEEC - FEUP/DEEC 11

12 Tabela de transição de estados (com os estados codificados) Adoptando a codificação de estados: estado presente próximo estado 2* 1* * 2 1 = =1 Y S 2 1 INI S1 1 S2 1 S3 1 1 OK Síntese de S*(,S) e Y(S) Tabela de verdade: S S* 2 1 2* 1* * Y e estes valores? MIEEC - FEUP/DEEC 12

13 Usando flip-flops tipo D como *=D: como estes estados nunca ocorrem, pode-se completar a tabela de verdade com zeros 2 1 D2 D1 D Y Minimizando as funções lógicas D2 2 1 D D2=2.1.. D1= MIEEC - FEUP/DEEC 13

14 continuando a minimizar... D D= Y Y=2.1. (Y só depende do estado actual 21) 172 Circuito lógico Y D2 D 2 D1 D 1 D D MIEEC - FEUP/DEEC 14

15 Estados não especificados A máquina de estados apenas tem 5 estados usando 3 flip-flops, há 3 estados não usados com a codificação adoptada são 11, 11 e 111 qual deve ser o próximo estado para estes estados? uma hipótese é o estado INI (estado no nosso caso) garante-se que a máquina de estados nunca encrava! risco foi a solução adoptada no exemplo mínimo admitindo que nunca ocorrem, S* pode ser indiferente definem-se valores indiferentes na tabela de verdade conduz a um circuito (potencialmente) mais simples custo e se a máquina de estados cair num destes estados? mínimo 174 Projecto com custo mínimo com flip-flops tipo D: como estes estados nunca ocorrem, considera-se indiferente o próximo estado 2 1 D2 D1 D Y MIEEC - FEUP/DEEC 15

16 Projecto com custo mínimo D2 2 1 D d d d d 1 D2=1.. D1= Projecto com custo mínimo D d d D= Y d d d 2 Y=2 (Y só depende do estado actual 21) MIEEC - FEUP/DEEC 16

17 Circuito lógico - mínimo custo Y D2 D 2 D1 D 1 D D Projecto com flip-flops JK 2 1 2* 1* * J2 K2 J1 K1 J K Y d d d 1 1 d d 1 d 1 1 d 1 d d d d 1 1 d d 1 d d d 1 d d d d d d 1 d d 1 1 d d d 1 d 1 d d d d d * J K d 1 1 d 1 d d MIEEC - FEUP/DEEC 17

18 Expressões mínimas para J2 e K2 J2 2 1 K d d 1 J2=1.. K2=1 18 Expressões mínimas para J1 e K1 J K d d J1=.+2. K1= MIEEC - FEUP/DEEC 18

19 Expressões mínimas para J e K J d K 2 1 d d d d 1 d d d d J= K= Circuito com flip-flops JK Y 1 J2 K2 J K 2 J1 K1 J K 1 J K J K MIEEC - FEUP/DEEC 19

20 Projecto com flip-flops tipo T 2 1 2* 1* * T2 T1 T d d d d * T Minimizando as funções T2, T1 e T T d d T2= T d d T1= T d d 1 T= MIEEC - FEUP/DEEC 2

21 Modelo de FSM de Mealy Y(,S) Y S*(,S) S variáveis de estado (guarda o estado) S* No modelo de Mealy as saídas dependem de estado actual e das entradas As saídas podem ser alteradas durante o tempo de um estado 186 FSM de Mealy Exemplo: o mesmo circuito anterior (detector de 111) assunção: o valor de muda logo após o a saída Y depende do estado actual e da entrada INI INI S1 S1 S2 S3 S1 S1 S2 INI S1 S2 S3 Y é detectada a sequência 111 em 4 estados consecutivos MIEEC - FEUP/DEEC 21

22 Diagrama de estados Os valores das saídas em cada estado dependem dos valores das entradas durante esse estado. / INI 1/ Esta mudança de estado ocorre quando =1 / Y Desenham-se associados às transições de estado. / / S1 1/ Apenas se altera a forma como é representado e construído o circuito que produz as saídas da FSM. S2 1/ 1/1 Y vale quando estado actual é S3 e = / S3 Y vale 1 quando estado actual é S3 e =1 188 Tabela de transição de estados (FSM de Mealy) / INI 1/ próximo estado e saída Y se = próximo estado e saída Y se =1 / S2 / 1/ S1 1/1 1/ S*,Y S = =1 INI INI, S1, S1 S2, S1, S2 INI, S3, S3 S2, S1,1 / S3 estado actual MIEEC - FEUP/DEEC 22

23 Tabela de transição de estados (com estados codificados) Usando a codificação de estados: estado presente próximo estado e saída 1* *,Y 1 = =1, 1, 1 1, 1, 1, 1 1, 1 1 1, 1,1 S 1 INI S1 1 S2 1 S3 1 1 Y é função de 1, e 19 Síntese de S*(,S) e Y(,S) S S* Tabela de verdade: 1 1* * Y (...e o resto é igual ao usado para FSMs de Moore) Na mesma máquina de estados podem coexistir saídas de Mealy e de Moore O processo de especificação e síntese combina as duas formas anteriores: as saídas de Moore só dependem do estado presente as saídas de Mealy são função do estado presente e das entradas MIEEC - FEUP/DEEC 23

24 Codificação de estados ual é o melhor conjunto de códigos? objectivo: minimizar o circuito lógico (# gates) Problema muito complexo (NP-completo) para obter a melhor solução é necessário verificar todas as hipóteses! os códigos atribuídos aos estados influenciam os circuitos que produzem o próximo estado os circuitos que produzem as saídas (de Moore ou Mealy) Isto é impraticável, mesmo para FSMs pequenas! 192 Codificação de estados (exemplo) FSM com 5 estados são necessários (no mínimo) 3 flip-flops com 3 flip-flops há 8 códigos binários existem = 56 grupos de 5 dos 8 códigos () 8 5 existem 5!=12 formas diferentes de atribuir cada um dos 56 grupos aos 5 estados no total há 672 codificações possíveis! e se forem usados 4 flip-flops? MIEEC - FEUP/DEEC 24

25 Codificação de estados (exemplo) usando o menor número possível de FFs: nº estados nº FFs nº códigos nº codificações , , , , ,151,347, ,59,43, ,356,582, ,782,912, gastando 1µs/solução seriam necessários 1 dias, 2 h, 9 min e 42 segundos! 194 Codificação de estados Codificações simples (exemplo para 8 estados) binária (códigos binários por ordem natural), 1, 1, 11, 1, 11, 11, 111 código Gray (troca 1 bit entre estados consecutivos), 1, 11, 1, 11, 111, 11, 1 one hot (só um bit=1 para cada estado) 1, 1, 1, 1, 1, 1,... quase one hot (código, os outros one hot), 1, 1, 1, 1, 1,... (é conveniente usar o estado... para estado inicial) MIEEC - FEUP/DEEC 25

26 Codificação de estados (regras práticas) estado inicial código fácil de forçar com um sinal de reset estados... ou , dependendo do tipo de FF se têm sinal de controlo reset (coloca em ) ou set (coloca em 1) minimizar o número de bits que trocam entre transições ou maximizar o número de variáveis de estado que se mantêm entre estados que tenham muitas transições entre eles melhor transições em que trocam 2 bits 2 transições em que trocam 2 bits 196 Codificação de estados (regras práticas) se existirem mais códigos do que estados, escolher códigos que permitam minimizar as funções que produzem as saídas S S* Y INI A... 1 B 1 C1... C2 1 C * Y * Y Y = Y = MIEEC - FEUP/DEEC 26

27 Codificação de estados (regras práticas) usar mais FFs do que o mínimo para forçar a aplicação da regra anterior S S* Y Z INI 1 A... 1 B 1 C C2 1 1 C3 1 1 SYC 1 END S* Y Z S* Y Z Y = Z = Y = 3 Z = Codificação de estados (regras práticas) A codificação one-hot conduz (geralmente) a equações de excitação simples é conveniente se se pretender ter saídas que indiquem o estado actual necessita de mais flip-flops do que o número mínimo é melhor usar a codificação quase-one-hot para ter o estado inicial= Estas regras não garantem a obtenção de um circuito mínimo a aplicação de algumas regras pode ser contraditória por exemplo, escolher códigos que minimizem as funções que produzem as saídas pode implicar a ocorrência de muitas trocas de bits nas transições entre estados os resultados da aplicação de cada regra variam de caso para caso a experiência também conta! MIEEC - FEUP/DEEC 27

28 Minimização de estados dois estados são equivalentes se: as saídas de Moore assumirem o mesmo valor as saídas de Mealy forem iguais para todas as combinações das entradas os próximos estados forem os mesmos, sob as mesmas condições de transição dois estados equivalentes podem reunir-se num só: Ymo: saída de Moore Yme: saída de Mealy... Ymo=1 S1 d1/ 1/1 S4 S3 AB/Yme... d1/ S2 Ymo=1 1/ d1/ S3 Ymo=1 S /1 S MIEEC - FEUP/DEEC 28

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais aídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABE sistema digital de controlo

Leia mais

FSM de Mealy. Exemplo:

FSM de Mealy. Exemplo: Exemplo: FSM de Mealy o mesmo circuito anterior (detector de 1011) assunção: o valor de X muda logo após o a saída Y depende do estado actual e da entrada X INI INI S1 S1 S2 S3 S1 S1 S2 INI S1 S2 S3 X

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-T 2. Máquinas Sequenciais Síncronas. Modelos de Moore

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop )

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop ) Células de memória síncronas ESV-ESI-Sistemas igitais-fundamentos dos Circuitos Sequenciais (2) 1/14 As células de memória síncronas reagem de forma sincronizada com um sinal de relógio ( Clock -), o qual

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ EELi02 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ TABELAS DE TRANSIÇÃO DE ESTADOS Q a Q f J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q a Q f D 0 0 0 0 1 1 1 0 0 1 1 1

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO etembro de 4 CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Latches e Flip Flops GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

Fundamentos dos circuitos sequenciais

Fundamentos dos circuitos sequenciais Fundamentos dos circuitos sequenciais ETV-EI-istemas Digitais-Fundamentos dos Circuitos equenciais 1/8 A grande maioria das aplicações dos sistemas digitais requer a capacidade de memória, isto é, a capacidade

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH ENTRO FEDERL DE ENSINO TENOLÓGIO DE SNT TRIN UNIDDE DESENTRLIZD DE SÃO JOSÉ URSO TÉNIO DE TELEOMUNIÇÕES ELETRÔNI DIGITL 1 PÍTULO 4 FLIP-FLOP E LTH Prof. Jorge H.. asagrande RIL 2005 PÍTULO 4 FLIP-FLOP

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um umário Introdução à lógica sequencial. Circuitos simples com realimentação. O latch do tipo -. O latch do tipo D baseado no latch -. [início do ponto IV] Índice Lógica equencial Circuitos simples com realimentação

Leia mais

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark Básculas Flip-flops Sinal de relógio Básculas actualizadas no flanco Flip-flops master-slave Flip-flops edge-triggered Flip-flops SR, D, JK e T Entradas directas Características temporais 2 1 Um circuito

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 2 Latches e Flip-Flops Professor Dr. Michael Klug Circuitos Sequenciais Circuitos Combinacionais: As saídas em qualquer instante de tempo dependem apenas dos valores das entradas

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops Introdução Tipos Circuitos Integrados Introdução - Um Flip-flop é uma célula de memória, accionada por um dos flancos do relógio. - Em geral, os flip-flops aparecem com duas entradas independentes do sinal

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

CIRCUITOS SEQÜENCIAIS. Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais.

CIRCUITOS SEQÜENCIAIS. Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais. CIRCUITOS SEÜENCIAIS Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais. Os circuitos combinacionais são aqueles em que as saídas dependem

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais

Capítulo VII Elementos de Memória

Capítulo VII Elementos de Memória Capítulo VII Elementos de Memória 1 Introdução Neste capítulo estudaremos dispositivos lógicos com dois estados estáveis, o estado SET e o estado RESET. Por isto, tais dispositivos são denominados dispositivos

Leia mais

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES CIRCUITOS SEUENCIAIS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 INVERSORES CMOS PROJETOS DIGITAIS E MICROPROCESSADORES 2 INVERSORES CMOS PROJETOS

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos ESTV-ESI-Sistemas Digitais-Circuitos Sequenciais Síncronos / Os circuitos sequenciais síncronos, também designados por máquinas sequenciais síncronas ou máquinas de estados,

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis 33 1. Objetivo Analisar a operação de circuitos biestáveis: latches e flip-flops tipo RS, JK, T e D. 2. Conceito Um latch ou um flip-flop também são conhecidos como dispositivos biestáveis. Os biestáveis

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS Sel 414 - Sistemas Digitais Prof. Homero Schiabel Síntese Sist. Síncronos Contagem = 0 Saídas: Z 1 = 0 Z 0 = 0 Contagem = 3 Saídas: Z 1 = 1 Z 0 = 1 A/00 D/11 Contagem

Leia mais

Lógica: Combinacional x Sequencial

Lógica: Combinacional x Sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 6 - Flip Flop Multivibrador biestável Curitiba, 2 maio

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS Módulo 4 Prof. Celso CIRCUITOS SEQÜÊNCIAIS s São estágios através dos quais um circuito seqüencial avança. Em cada estado o circuito armazena informação sobre sua história passada de modo que possa saber

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

Sistemas Digitais (SD) Contadores

Sistemas Digitais (SD) Contadores Sistemas Digitais (SD) Contadores Aula Anterior Na aula anterior: Registos Registos simples Banco de registos Registos de deslocamento Registos multimodo 2 Planeamento SEMANA TEÓRICA TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

Circuitos Seqüenciais Sistemas Digitais

Circuitos Seqüenciais Sistemas Digitais 2 ircuitos Seqüenciais Sistemas igitais Muitos sistemas digitais são pulsados ou clocked. Isto é, eles operam em sincronismo com um trem de pulsos de período chamado relógio (clock). n n+ ula GEO-I-UFPE

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Análise e Projeto de Circuitos Combinacionais e Sequenciais

Análise e Projeto de Circuitos Combinacionais e Sequenciais Análise e Projeto de Circuitos Combinacionais e Sequenciais Referência bibliográfica: - Digital Design: Principles and Practices - Wakerly - Elementos de Eletrônica Digital Idoeta e Capuano - Introduction

Leia mais

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135).

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135). AULA 8 Máquina de Estados Finitos F.S.M. (pg. 32 a 35).. Síntese de sistemas seqüenciais síncronos. Exemplo 3.5: Chave de carro segura. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II A chave de automóvel

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 9 Projeto de Blocos Seqüenciais Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

Flip-flops e Dispositivos Correlatos (parte 1) Sistemas de Informação CPCX UFMS Slides: Fernando Maia da Mota

Flip-flops e Dispositivos Correlatos (parte 1) Sistemas de Informação CPCX UFMS Slides: Fernando Maia da Mota Flip-flops e Dispositivos Correlatos (parte 1) Sistemas de Informação CPCX UFMS Slides: Fernando Maia da Mota Objetivos Construir um flip-flop latch com portas NAND ou NOR e analisar seu funcionamento.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS IGITAIS (S) MEE Acetatos das Aulas Teóricas Versão. - Português Aula N o 4: Título: Sumário: ircuitos Sequenciais Básicos: aracterização temporal; Metodologia de sincronização temporal. /4 Nuno.Roma@tecnico.ulisboa.pt

Leia mais

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Circuitos Digitais Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Plano de Ensino e Aprendizagem ( PEA) OBJETIVOS DA AULA : - Iniciar o estudo dos Circuitos Sequenciais; - Conhecer os Circuitos

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) IEMA DIGIAI (D) MEEC Acetatos das Aulas eóricas Versão 3.0 - Português Aula N o 13: ítulo: umário: Circuitos equenciais Básicos: Latches Elementos básicos de memória; Latches (Latch, Latch sincronizado,

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

Circuitos Seqüenciais (Máquinas Síncronas ou de Estados Finitos)

Circuitos Seqüenciais (Máquinas Síncronas ou de Estados Finitos) COTUCA - Colégio Técnico de Campinas e da UNICAMP TDM II - Técnicas Digitais e de Microprocessadores II - 1ºBim-2011 - Prof. Corradi 1-Introdução Circuitos Seqüenciais (Máquinas Síncronas ou de Estados

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Circuitos Digitais. Conteúdo. Circuitos Sequenciais. Combinacionais x Sequenciais. Circuitos Sequenciais. Circuitos Sequenciais

Circuitos Digitais. Conteúdo. Circuitos Sequenciais. Combinacionais x Sequenciais. Circuitos Sequenciais. Circuitos Sequenciais Ciência da Computação Conteúdo Circuitos Combinacionais Sequenciais Flip-Flops e Dispositivos Sequenciais Flip-Flop RS com Entrada de Clock com Entradas Preset e Clear Prof. Sergio Ribeiro Exercício Material

Leia mais