ARQUITECTURA DE COMPUTADORES

Tamanho: px
Começar a partir da página:

Download "ARQUITECTURA DE COMPUTADORES"

Transcrição

1 ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um computador elementar de Ciclo Único, constituído por uma Unidade de Controlo Hardwired e por uma Unidade de Processamento. Descrição: O trabalho terá uma duração de 2 semanas. O trabalho deverá ser preparado (projecto, edição dos esquemas e testes) fora do horário de laboratório, destinando o laboratório à resolução de eventuais problemas e demonstração do trabalho realizado. 1. O Processador Pretende-se que seja projectada uma unidade de controlo para a unidade de processamento disponibilizada no laboratório 1 - Unidade de Processamento de dados. A unidade de processamento de dados deve ser utilizada tal como fornecida pelo corpo docente e sem quaisquer alterações. Ilustração 1 - A Unidade de Processamento de Dados do processador a implementar.

2 O diagrama de blocos do processador completo encontra-se na Ilustração 2. Os registos a azul, com excepção do PC devem ser ignorados (mas incluindo o PC -1 e PC -2 ), por corresponderem á implementação em pipe-line do processador. Ilustração 2 Diagrama de blocos do processador a implementar

3 As funções da unidade funcional são definidas pelo sinal FS, de acordo com a tabela em baixo. Tabela 1 Funções do sinal FS FS 0000 A 0001 A A+B 0011 A+B A+/B 0101 A-B 0110 A A 1000 and 1001 or 1010 xor 1011 /A 1100 B 1101 SR 1110 SL 1111 xxx O sinal BS controla os saltos, tal como indicado na Tabela 2. O sinal PS inverte o efeito to sinal PS. Tabela 2 - Sinal BS BS PS 00 - Não Salta 01 0 Salto em Zero 01 1 Salto em não Zero 10 - Salta para valor em registo 11 - Salto incondicional Os saltos são para um offset relativo ao valor do PC, o valor do sinal Br, com excepção do caso em que BS tem o valor 10 em o salta é para o conteúdo do registo colocado no barramento A. O MUX MA permite colocar o valor do PC como entrada para a unidade funcional. O sinal CS indica como deve ser estendida a constante proveniente da unidade de controlo, CS activo faz extensão de sinal, CS inactivo estende com zeros.

4 2. O conjunto de instruções O processador utiliza o seguinte conjunto de instruções: Instrução Função Comentário NOP No Operation MOVA RD RA ADD RD RA + RB SUB RD RA RB AND RD RA & RB AND bit a bit OR RD RA RB OR bit a bit XOR RD RA xor RB XOR bit a bit NOT RD not RA NOT bit a bit ADI RD RA + IM SBI RD RA IM ANI RD RA & IM AND bit a bit ORI RD RA IM OR bit a bit XRI RD RA xor IM XOR bit a bit AIU RD RA + unsigned(im) SIU RD RA - unsigned(im) MOVB RD RB LSR RD Right Logical Shift RA by IM LSL RD Left Logical Shift RA by IM LD RD M[RA] STR M[RA] RB JMR PC RA SLT if RA < RB then DR 1 else DR 0 BZ If RA==0 then PC=PC+Offset+1 else PC=PC+1 BNZ If RA!=0 then PC=PC+Offset+1 else PC=PC+1 JMP PC PC + Offset+1 JML PC PC + Offset+1; DR PC+1 As instruções devem ser codificadas de acordo com a seguinte tabela: Instrucção OPCODE RW MD BS PS MW FS MB MA CS NOP XX 00 X 0 XXXX X X X ADD X X SUB X X AND X X OR X X XOR X X NOT X X 0 X MOVB X X X LSR X X 0 X LSL X X 0 X LD X 0 XXXX X 0 X STR XX 00 X 1 XXXX 0 0 X ADI X SBI X

5 ANI X ORI X XRI X JML X MOVA X X 0 X AIU X SIU X BNZ XX BZ XX SLT X X JMP XX 11 X 0 XXXX 1 X 1 JMR XX 10 X 0 XXXX X 0 X Existem os seguintes tipos de instrução: Três Registos OPCODE DR RA RB Dois Registos OPCODE DR RA IM Salto OPCODE DR RA Offset 3. O Descodificador Esta secção deve ser realizada na primeira semana. Projecte o descodificar de instruções do processador descrito. Note que alguns dos sinais podem ser retirados facilmente da instrução: OP(6:0) = INSTRUCCAO(31:25) Temos que FS = OP(3:0) com excepção do caso OP(6:3)= 1001 em que FS= Ou seja temos, FS = OP(3:0) /(OP(6) /OP(5) /OP(4) OP(3)) Todos os restantes sinais, podem ser obtidos dos apenas dos quatro bits mais significativos do opcode ou seja de OP(6:3) com excepção para o caso da instrução SLT e STR. Para gerar lógica compatível com estas instruções podem gerar os sinais SLT e STR e utiliza-los no descodificador, nomeadamente,

6 STR = ( OP(6:3) = 0100 OP(2:0) = 000 ) e SLT = ( OP(6:3) = 1100 OP(2) = 1 ) Assim devem utilizar mapas de karnaugh para determinar a lógica que gera cada um dos sinais 3a) Projecte a lógica associada ao descodificador de instruções. 3b) Implementar a lógica em Xilinx num símbolo a que deve chamar descodificador. O símbolo deve ter os seguintes sinais: Sinal Direcção OPCODE(6:0) Input RW MD(1:0) BS(1:0) PS MW FS(3:0) MB MA CS Gere um ficheiro de teste que teste o descodificador. Este deve percorrer os valores do OPCODE em saltos de 4, percorrendo os 32 valores possíveis para OP(6:3). Verifique se os valores de saída estão de acordo com a tabela fornecida. Mostre ao docente os resultados. 4. Controlo de salto Esta secção deve ser realizada na primeira semana. Implemente o controlo de controlo de salto. Este é formado pelo MUX C e lógica associada, o somador de unidade e o somador que gera BrA. 4a) Implemente a lógica de controlo de salto. Deve implementar um símbolo com as seguintes entradas e saídas: Sinal PC(31:0) Direcção

7 OFFSET(31:0) Input RAA Input BS(1:0) Input Z Input PS Input Para o PC deve utilizar o ficheiro RES32AR.vhd fornecido que inicializa o registo automaticamente a zero, o qual deve utilizar para criar um símbolo. Coloque o valor de OFFSET a 2394 e RAA a Percorra todos os 16 valores BS(1:0), Z e PS por ordem binária. Considere BS(1:0) como os bits mais significativos seguido de Z e PS. Verifique o resultado e mostre ao docente. 5. Computador de ciclo único Esta secção deve ser realizada na segunda semana. Crie um símbolo para a unidade de controlo ligando os diferentes módulos disponíveis Memórias, Controlo de Salto...). Para a memória de instruções deve utilizar o ficheiro inst_mem fornecido. Deixe uma saída com o PC para facilitar o teste da unidade de processamento. Crie um novo esquemático correspondente ao computador de ciclo único em que liga a unidade de controlo á unidade de processamento. 6. Programa de demonstração Esta secção deve ser realizada na segunda semana. A fim de demonstrar o funcionamento do computador, crie um programa que multiplique por dois todos os elementos de uma tabela na memória. Considere que na primeira posição da memória se encontra a dimensão da tabela. Para verificar se o programa está a funcionar correctamente observe os valores no barramento de dados, D(31:0) e o valor de PC, de verificando que nas instruções correspondentes estão a ser lidos e escritos os valores correctos na memoria. Mostre os resultados ao docente. 7. Relatório O relatório deve conter a resposta a todas as questões apresentadas no guia e todos os esquemáticos desenvolvidos. Não deve conter mais que 10 páginas e dispensa-se introduções teóricas. Este deverá ser enviado para o endereço de correio electrónico Paulo.lopes@ist.utl.pt até as 24 horas do dia seguinte ao laboratório. O ficheiro enviado pode ir em pdf, Word ou RTF. Para gerar o pdf podem utilizar software em

8 8. Avaliação A avaliação do trabalho será o resultado da avaliação na aula e do relatório de acordo com os seguintes pontos. Ponto Cotação 3a) 3 3b) 3 4) 3 5) 2 6) 3 Estrutura do relatório 2 Iniciativa e Originalidade 2 Discussão na aula 2 9. Notas sobre a utilização das memórias As memórias de dados e instruções serão fornecidas como ficheiros inst_mem.vhdl e data_mem.vhdl. Estes ficheiros deverão ser importados para o Xilinx usado a opção Project-> Add Copy of Source, sendo necessário criar um símbolo para cada um dos ficheiros. A partir deste momento existirá um símbolo para cada memória que poderá ser usado no esquema a desenvolver. Para editar os conteúdos das memórias basta, dentro do Xilinx, fazer duplo clique. Aparecerá um editor de texto onde os alunos poderão fazer as alterações relevantes. Os alunos apenas deverão alterar o seguinte: ou constant InitValue: ram_type := ( -- Editar esta constante!!! 0 => "0010", -- 1 => "1101", -- 2 => "1111", -- 3 => "0000", -- others => "0000" ); constant Content: rom_type := ( 0 => " ", -- movi r0, => " ", -- movi r1, => " ", -- swap r1, m[r0] 3 => " ", -- movi r0, => " ", -- store m[r0], r1 5 => " ", -- jump +0 6 => " ", -- 7 => " ", -- 8 => " ", -- 9 => " ", -- others => " " ); tendo sempre em atenção em não alterar o formato do ficheiro.

9 Cada linha atrás indicada corresponde a uma posição de memória. Antes do sinal => aparece o endereço da posição de memória e entre aspas o valor em binário. Após -- os alunos devem escrever um comentário.

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 2º Trabalho de Laboratório Unidade de Controlo de Ciclo Único Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de

Leia mais

Sistemas Processadores e Periféricos Aula 2 - Revisão

Sistemas Processadores e Periféricos Aula 2 - Revisão Sistemas Processadores e Periféricos Aula 2 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Arquitectura de Computadores MEEC (2014/15 2º Sem.)

Arquitectura de Computadores MEEC (2014/15 2º Sem.) Arquitectura de Computadores MEEC (2014/15 2º Sem.) Unidade de Controlo Prof. Nuno Horta PLANEAMENTO Introdução Unidade de Processamento Unidade de Controlo Arquitectura do Conjunto de Instruções Unidade

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Datapath do MIPS MIPS Implementação Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Instruções de leitura (load lw) e de escrita (store sw) Instruções aritméticas e lógicas

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 04: ASPECTO BÁSICO DO PROJETO DE UMA CPU SIMPLES E LINGUAGEM DE MONTAGEM Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA 1.º Trabalho

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

DataPath II Tomando o controle!

DataPath II Tomando o controle! DataPath II Tomando o controle! Datapath and Control Queremos implementar parte do MIPS lw, sw add, sub, and, or, slt beq e depois o j Instruction Word Formats Register format: add, sub, and, or, slt op-code

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Laboratório (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Verificação de equivalência de representações através de expressões booleanas, tabelas de verdade e esquemáticos. Contacto com

Leia mais

Neander - características

Neander - características NEANDER x RAMSES (Ou porque da necessidade de upgrade :-) Texto original: ftp://ftp.inf.ufrgs.br/pub/inf108/ramses-instrucoes.ppt Neander - características Largura de dados e endereços de 8 bits Dados

Leia mais

09 Unidade de controlo. v0.1

09 Unidade de controlo. v0.1 09 Unidade de controlo v0.1 Introdução Um micro-processador pode consistir apenas em alguns elementos: ALU Registers Lógica de controlo (ou unidade de controlo) 18 December 2014 Sistemas Digitais 2 Lógica

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR Introdução Instruções são representadas em linguagem de máquina (binário) E x i s t e m l i n g u a g e n

Leia mais

ção de Computadores II

ção de Computadores II Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores II Aula 2 2. MIPS monociclo:

Leia mais

SISTEMAS DIGITAIS II Enunciado do Projecto

SISTEMAS DIGITAIS II Enunciado do Projecto SISTEMAS DIGITAIS II Enunciado do Projecto Prof. José Sousa 2005/2006 Sumário Enunciado do Projecto: Processador de 1 bit...2 Regras e Calendário do Projecto...9 Estrutura do Relatório do Projecto...11

Leia mais

Arquitetura de Um Processador I

Arquitetura de Um Processador I Arquitetura de Um Processador I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-08 José Costa (DEI/IST) Arquitetura de

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de

Leia mais

MICROPROCESSADORES 2º TESTE - A

MICROPROCESSADORES 2º TESTE - A MICROPROCESSADORES 2º TESTE - A Ano Lectivo: 2005/2006 Data: 8 de Maio de 2006 Ano Curricular: 1º Ano 2º Semestre Duração: 2h00 INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores rquitetura de Computadores º Trabalho de Laboratório Unidade de Processamento Objetivo: pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e teste de uma Unidade de Processamento

Leia mais

Sistemas de Computação. Instruções de Linguagem de Máquina

Sistemas de Computação. Instruções de Linguagem de Máquina Instruções de Linguagem de Máquina Linguagem de montagem do processador MIPS Operações aritméticas Instrução Exemplo Significado soma add a, b, c a = b + c subtração sub a, b, c a = b - c Compilação de

Leia mais

µinstruções µoperações representadas em binário podem ser compactadas numa só µinstrução exemplo (as 3 últimas µinstruções anteriores):

µinstruções µoperações representadas em binário podem ser compactadas numa só µinstrução exemplo (as 3 últimas µinstruções anteriores): µinstruções µoperações representadas em binário cada µoperação é realizada por um ou mais 1s cada bit é um sinal de controlo (excepto rrr_oe) podem ser compactadas numa só µinstrução exemplo (as 3 últimas

Leia mais

Arquiteturas de Computadores

Arquiteturas de Computadores Arquiteturas de Computadores Implementação monociclo de IPS Fontes dos slides: Patterson & Hennessy book website (copyright organ Kaufmann) e Dr. Sumanta Guha Implementando IPS Implementação do conjunto

Leia mais

RESOLUÇÃO. Identifique todas as folhas! Não escreva nada fora dos rectângulos

RESOLUÇÃO. Identifique todas as folhas! Não escreva nada fora dos rectângulos Teste de Arquitectura de Computadores LEIC, LERCI e LEE IST - Taguspark Profs responsáveis: José Delgado e Rui Rocha 2º Semestre 2004/2005 1º teste, turno B 2 de Abril de 2004 RESOLUÇÃO Início: 10H00 FIM:

Leia mais

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada MICROPROCESSADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de uma Unidade

Leia mais

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Ano Lectivo: 200/2009 Data: 6 de Janeiro de 2006 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta

Leia mais

Introdução à arquitetura do hardware

Introdução à arquitetura do hardware Introdução à arquitetura do hardware Descodificação de endereços Núcleo do processador Microprogramação Arquitetura de Computadores Introdução à arquitetura do hardware 1 Espaço de endereçamento (com 16

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de Entrada/Saída

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta / Paulo Lopes Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de

Leia mais

Arquitetura de Um Processador III

Arquitetura de Um Processador III Arquitetura de Um Processador III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-15 José Costa (DEI/IST) Arquitetura

Leia mais

NEANDERWIN. Algumas características do processador Neander são:

NEANDERWIN. Algumas características do processador Neander são: NEANDERWIN O NeanderWin é um simulador da máquina Neander, definida no livro do Raul F. Weber (UFRGS), Fundamentos de Arquitetura de Computadores, Ed. Sagra Luzzatto. A máquina original foi estendida aqui

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

ção de Computadores I

ção de Computadores I Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores I Aula 2 1. Projeto da Arquitetura

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta.

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta. Bacharelado em Ciência da Computação DINF / UFPR Projetos Digitais e Microprocessadores o Semestre de 5 Prof. Luis Allan Künzle Prova Final 5/7/5 Prova sem consulta. [Questão - Peso,5] Conecte o registrador

Leia mais

SSC0114 Arquitetura de Computadores

SSC0114 Arquitetura de Computadores SSC0114 Arquitetura de Computadores 3ª Aula Arquitetura MIPS: ISA, Formato das instruções e Modos de endereçamento MIPS Monociclo: Caminho de Dados e Unidade de Controle Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br

Leia mais

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES Ministério da Ciência, Tecnologia e Ensino Superior p-fólio U.C. 21010 Arquitectura de Computadores 26 de julho de 2018 INSTRUÇÕES O tempo de resolução do p-fólio é de uma hora e trinta minutos (90 minutos)..

Leia mais

Universidade de São Paulo

Universidade de São Paulo Conjunto Instruções ARM Universidade de São Paulo Sistemas Embarcados Jorge Luiz e Silva ARM versões. ARM Linguagem Assembly. ARM modelo de programação. ARM organização de memória. ARM operação com dados.

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 25 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

4. Modelo de Programação do DLX Introdução

4. Modelo de Programação do DLX Introdução 4. Modelo de Programação do DLX Quero que o matemático Beremiz Samir nos conte uma lenda, ou uma simples fábula, na qual apareça uma divisão de 3 por 3 indicada, mas não efetuada, e outra de 3 por 2, indicada

Leia mais

Organização de Computadores

Organização de Computadores Organização do Processador - Parte A Capítulo 5 Patterson & Hennessy Prof. Fábio M. Costa Instituto de Informática Universidade Federal de Goiás Conteúdo Caminho de dados Caminho de controle Implementação

Leia mais

Microprocessadores. Arquitectura Geral de Microprocessador

Microprocessadores. Arquitectura Geral de Microprocessador Arquitectura Geral de Microprocessador António M. Gonçalves Pinheiro Departamento de Física Covilhã - Portugal pinheiro@ubi.pt Modelo de von Neuman Barramento de Endereços µprocessador Memória Entrada/Saída

Leia mais

UCP: Construindo um Caminho de Dados (Parte I)

UCP: Construindo um Caminho de Dados (Parte I) UCP: Construindo um Caminho de Dados (Parte I) Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado cedido pela Profa. Fernanda Passos Cristina Boeres

Leia mais

Organização de Unidades de Processamento

Organização de Unidades de Processamento Organização de Unidades de Processamento João Canas Ferreira Março de 2004 Contém figuras de: Computer Organization & Design, D. A Patterson e J. L. Hennessy, 2 a ed. (cap. 5) c JCF, 2004 ASPD (FEUP/LEEC)

Leia mais

Conjunto de. Instrução MIPS. Parte II. Instruções MIPS. Instruções MIPS. Instruções MIPS :: Instruções lógicas. :: Instruções lógicas

Conjunto de. Instrução MIPS. Parte II. Instruções MIPS. Instruções MIPS. Instruções MIPS :: Instruções lógicas. :: Instruções lógicas Faculdade de Ciências Aplicadas e Sociais de Petrolina FACAPE Conjunto de Pae II Transferência de Dados Lógicas Controle Supoe a procedimentos Prof. Sérgio Adaptado dos slides de Sistemas Processadores

Leia mais

Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas

Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas Material a ser utilizado: Kits de desenvolvimento de sistemas microprocessados para aplicações em DSP Texas DSK-TMS320C67xx. Apresentação

Leia mais

ARQUITECTURA DE COMPUTADORES 1º EXAME

ARQUITECTURA DE COMPUTADORES 1º EXAME MEEC Mestrado Integrado em Engenharia Electrótecnica e de Computadores Arquitectura de Computadores 2013-2014 Nome: Nº: ARQUITECTURA DE COMPUTADORES 1º EXAME Ano Lectivo: 2013/2014 Data: 17 de Junho de

Leia mais

Desenho do Processador

Desenho do Processador Desenho do Processador Lís Nogeira lis@dei.isep.ipp.pt Departamento Engenharia Informática Institto Sperior de Engenharia do Porto Desenho do processador p. Introdção Definindo o seginte sbconjnto da ISA

Leia mais

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples Infraestrutura de Hardware Implementação Monociclo de um Processador Simples Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço

Leia mais

Esta pseudomáquina foi criada em homenagem ao homem de Neandertal, o antecessor do homo sapiens.

Esta pseudomáquina foi criada em homenagem ao homem de Neandertal, o antecessor do homo sapiens. Documentação Neander C O Neander C O computador hipotético Neander Características Modos de endereçamento Conjunto de instruções Obtendo o Neander C Compilando o Neander C Executando o Neander C Programando

Leia mais

SSC510 Arquitetura de Computadores 1ª AULA

SSC510 Arquitetura de Computadores 1ª AULA SSC510 Arquitetura de Computadores 1ª AULA REVISÃO DE ORGANIZAÇÃO DE COMPUTADORES Arquitetura X Organização Arquitetura - Atributos de um Sistema Computacional como visto pelo programador, isto é a estrutura

Leia mais

Microcontroladores e Interfaces

Microcontroladores e Interfaces Microcontroladores e Interfaces 3º Ano Eng. Electrónica Industrial Carlos A. Silva 2º Semestre de 2005/2006 http://www.dei.uminho.pt/lic/mint Aula A3 15 Mar 05 - M Datapath e a sua Unidade de Controlo

Leia mais

Infraestrutura de Hardware. Instruindo um Computador

Infraestrutura de Hardware. Instruindo um Computador Infraestrutura de Hardware Instruindo um Computador Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço Operando ALU Temp Datapath

Leia mais

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE Introdução Uma implementação MIPS básica Sinopse da implementação Sinais de controle Multiplexadores (muxes) Implementação monociclo Metodologia de clocking Construindo

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Conjunto de Instruções Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes - UFERSA

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO DEPARTAMENTO DE CIÊNCIAS EXATAS E NATURAIS CURSO DE CIÊNCIA DA COMPUTAÇÃO Arquitetura e Organização de Computadores Conjunto de Instruções Prof. Sílvio Fernandes

Leia mais

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral Processador de uso geral 1. Introdução: Um sistema digital completo é constituído de uma unidade controle e o bloco operacional. Na figura a seguir alguns elementos externos necessários para completar

Leia mais

Especificação do Projeto de Processador RISC

Especificação do Projeto de Processador RISC UNIVERSIDADE FEDERAL DO VALE DO SÃO FRANCISCO Especificação do Projeto de Processador RISC mycpu Neste documento é apresentada a especificação do projeto da disciplina Organização e Arquitetura de Computadores

Leia mais

Conjunto de Instruções (ISA) I

Conjunto de Instruções (ISA) I Conjunto de Instruções (ISA) I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-16 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

ARQUITECTURA DE COMPUTADORES 2º TESTE A

ARQUITECTURA DE COMPUTADORES 2º TESTE A ARQUITECTURA DE COMPUTADORES 2º TESTE A Ano Lectivo: 2006/2007 Data: 2 de Maio de 2007 INFORMAÇÕES GERAIS Duração: 2h00 1. Identifique todas as folhas do enunciado com nome e nº. 2. Mantenha na secretária

Leia mais

ARQUITETURA DE COMPUTADORES 21010

ARQUITETURA DE COMPUTADORES 21010 ARQUITETURA DE COMPUTADORES 21010 Considere o seguinte conjunto de dados que correspondem ao nome de estudante e à nota por este obtida num determinado trabalho: Nome Nota ALICE 16 JOÃO 10 LIA 12 PAULA

Leia mais

Encriptação de Mensagens

Encriptação de Mensagens Instituto Superior Técnico Fundamentos da Programação 2016/2017 Enunciado do 1 o Projecto Data de entrega: 4 de Novembro de 2016 às 23h59 Encriptação de Mensagens Pretende-se com este trabalho a implementação

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Geração do Código Objecto (10.7 e 10.8) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

Nível Máquina Formatos de Instruções

Nível Máquina Formatos de Instruções Nível Máquina Formatos de Instruções IA32 e MIPS AC1 11ª aula Formatos de Instruções 1 Stored Program Concept As instruções são números, armazenados em memória, que são descodificados pela Unidade de Controlo

Leia mais

Organização ou MicroArquitectura

Organização ou MicroArquitectura Organização ou MicroArquitectura DataPath MIPS32 AC Micro-Arquitectura: DataPath do MIPS Datapath e Controlpath Datapath circuito percorrido pelas instruções, endereços e ados IP Inst. Mem. Register File

Leia mais

Repescagem - 2.º Teste de Introdução à Arquitetura de Computadores 1.º Semestre 2014/2015 Duração: 60 minutos 16 janeiro 2015

Repescagem - 2.º Teste de Introdução à Arquitetura de Computadores 1.º Semestre 2014/2015 Duração: 60 minutos 16 janeiro 2015 Repescagem - 2.º Teste de Introdução à Arquitetura de Computadores IST LEIC-T 1.º Semestre 2014/2015 Duração: 60 minutos 16 janeiro 2015 NOME NÚMERO 1. (2,5+1,5 valores) Considere o seguinte programa.

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Operações Aritméticas e Lógicas e Avaliação de Condições

Operações Aritméticas e Lógicas e Avaliação de Condições 3 Operações Aritméticas e Lógicas e Avaliação de Condições Arithmetic is where the answer is right and everything is nice and you can look out of the window and see the blue sky - or the answer is wrong

Leia mais

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16 Introdução aos Computadores Introdução à Ciência de Computadores Nelma Moreira Departamento de Ciência de Computadores da FCUP Outubro 2011 Introdução aos Computadores Introdução à Ciência de Computadores

Leia mais

UCP: Caminho de Dados (Parte II)

UCP: Caminho de Dados (Parte II) UCP: Caminho de Dados (Parte II) Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado nos slides de Fernanda Passos Cristina Boeres (IC/UFF) UCP: Caminho

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

ORGANIZAÇÃO DE COMPUTADORES

ORGANIZAÇÃO DE COMPUTADORES Organização de Computadores ORGANIZAÇÃO DE COMPUTADORES Curso: Tecnologia em Gestão da Tecnologia da Informação Ano: 2011 Instrução Precisam ser entendidas pelo processador Precisam ser decodificadas e

Leia mais

PSI3441 Arquitetura de Sistemas Embarcados

PSI3441 Arquitetura de Sistemas Embarcados PSI31 Arquitetura de Sistemas Embarcados - Arquitetura do µprocessador Escola Politécnica da Universidade de São Paulo Prof. Gustavo Rehder grehder@lme.usp.br Prof. Sergio Takeo kofuji@usp.br Prof. Antonio

Leia mais

Arquitectura de Computadores 3º TESTE

Arquitectura de Computadores 3º TESTE Arquitectura de Computadores 3º TESTE Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2008 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta).

Leia mais

SSC0611 Arquitetura de Computadores

SSC0611 Arquitetura de Computadores SSC0611 Arquitetura de Computadores 2ª e 3ª Aulas Arquitetura MIPS: ISA, Formato das instruções e Modos de endereçamento Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br 1 Arquitetura MIPS MIPS: Microprocessor

Leia mais

Operações de Controle de Fluxo e Acesso a Memória. Na Aula Anterior... Nesta Aula. Instruções de Controle de Fluxo. if then - else.

Operações de Controle de Fluxo e Acesso a Memória. Na Aula Anterior... Nesta Aula. Instruções de Controle de Fluxo. if then - else. GBC036 Arq. e Org. Computadores I Operações Controle Fluxo e Acesso a Memória Na Aula Anterior... Instruções aritméticas em Z; Formato e Codificação Instruções; Overflow e unrflow; Instruções aritméticas

Leia mais

Endereçamento e Formato de Instruções

Endereçamento e Formato de Instruções Endereçamento e Formato de Instruções S W Song MAC 412 - Organização de Computadores Endereçamento e Formato de Instruções Veremos: Espaço de endereçamento Formato de instruções Códigos de operação (opcodes)

Leia mais

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Arquiteturas de Computadores Turma :A1 Lista 1 Profa.: Simone Martins 1. Tentando projetar o futuro: a) Em

Leia mais

Execução detalhada de instruções

Execução detalhada de instruções LEI Sistemas de Computação 2014/15 Execução detalhada de instruções TPC3 + Guião Teatral Alberto José Proença ------------------------------------------------------------------------------------------------------------------------------------------------

Leia mais

ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO

ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2009 INFORMAÇÕES GERAIS Duração: 2h00 1. Identifique todas as folhas do enunciado com nome e nº. 2. Mantenha

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - ICMC - SSC SSC 0511 - Sist. Informação - 2o. Semestre 2014 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal: http://www.icmc.usp.br/~fosorio/

Leia mais

Sistemas Digitais Ficha Prática Nº 6

Sistemas Digitais Ficha Prática Nº 6 Comparador de Dígitos Binários Circuitos aritméticos: Multiplicador de dois bits Sistemas Digitais Ficha Prática Nº 6 Grupo: Turma: Elementos do Grupo:. Comparador de Dígitos Binários Neste trabalho pretende-se

Leia mais

Execução detalhada de instruções

Execução detalhada de instruções MIEI Sistemas de Computação 2015/16 Execução detalhada de instruções TPC4 + Guião Teatral Alberto José Proença ------------------------------------------------------------------------------------------------------------------------------------------------

Leia mais

Computador Cleópatra

Computador Cleópatra ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES Computador Cleópatra Assembly Alexandre Amory Edson Moreno 2 / 36 Na Aula Anterior Vimos a máquina de estados da Cleo 3 / 36 Arquitetura Cleópatra - Von Neumann

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas Arquitectura de Computadores 00/00 º Semestre Repescagem 1º Teste - /0/00 Número: Nome: INSTRUÇÕES: - A duração da prova é de 1, horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

Aula 17: UCP: Construindo um Caminho de Dados (Parte I)

Aula 17: UCP: Construindo um Caminho de Dados (Parte I) Aula 17: UCP: Construindo um Caminho de Dados (Parte I) Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) UCP: Caminho de Dados (I) FAC 1 / 33

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação QUAL É A INTERFACE ENTRE

Leia mais

Projeto Cpu. Carlos O. Cunha Filho César H. Kallas

Projeto Cpu. Carlos O. Cunha Filho César H. Kallas Projeto Cpu Carlos O. Cunha Filho César H. Kallas O Centro de Ciências Exatas, Ambientais e de Tecnologias Pontifícia Universidade Católica de Campinas Campinas Brasil Faculdade de Engenharia de Computação

Leia mais