SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

Tamanho: px
Começar a partir da página:

Download "SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos"

Transcrição

1 SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos Sequenciais Síncronos. Neste trabalho será projetado e testado um controlador de semáforos de uma passagem de peões numa avenida de sentido único (em versão simplificada). Cada grupo deverá enviar até ao início da aula um pré-relatório contendo as secções indicadas no final deste enunciado e as respostas às perguntas colocadas ao longo do enunciado. No fim da aula deverão completar, alterar (se necessário) e reenviar o relatório. 2. Especificação do Controlador Pretende-se projetar um sistema de controlo de semáforos para uma passagem de peões numa avenida de sentido único, conforme ilustrado na figura. O sistema deverá cumprir as seguintes especificações: O sistema tem uma única entrada de controlo: o botão de atravessamento da passadeira. Existem 2 semáforos controlados por 5 saídas: 1 semáforo para os automóveis com 3 modos de funcionamento (verde, amarelo e encarnado) e 1 semáforo para os peões com 2 modos de funcionamento (verde e encarnado). Enquanto o botão de atravessamento não for ativado, o semáforo dos automóveis mantém-se a verde. O semáforo dos automóveis deve manter-se a verde durante pelo menos 3 ciclos de relógio.

2 No 1º flanco de relógio a seguir à ativação do botão de atravessamento (e desde que o sinal já esteja verde há mais de 2 ciclos de relógio), o semáforo dos automóveis deve passar a amarelo e no flanco seguinte deve passar a encarnado. O semáforo dos peões mantém-se a verde 1 ciclo de relógio, após o que passa a encarnado. Obviamente em nenhum instante pode ser permitido o atravessamento simultâneo de automóveis e peões. O sistema tem que garantir que não há funcionamento incorreto, nomeadamente nos casos em que o sistema vá parar a estados não desejados. É sempre boa ideia incluir uma entrada de (re)inicialização de uma máquina de estados, que permita inicializá-la num estado conhecido. Considere uma entrada adicional de Reset que, quando ativada, coloque o sistema num estado em que ambos os semáforos estão a encarnado. Para simular o botão de pedido de atravessamento utilize um interruptor da base. Afim de simplificar o projeto, após a ativação, considera-se que o interruptor deve ser colocado manualmente a posição 0 só quando o semáforo de automóveis estiver a amarelo. Uma especificação nunca é completa. Podem existir partes do comportamento do controlador que não estão indicadas explicitamente (ou que podem ser facilmente melhoradas). Nesses casos, tome as decisões que julgar mais razoáveis e justifique-as no relatório. 3. Projeto e Simulação (a realizar em casa) 3.1 Desenhe um diagrama de estados que realize a funcionalidade do controlador acima especificado. Justifique. 3.2 Utilizou uma máquina de Moore ou de Mealy? Justifique porque escolheu essa opção. Nota: se o número de estados final for superior a 8, tente esclarecer com os docentes da cadeira qual a falha de interpretação. 3.3 Projete o circuito utilizando Flip-Flops D. Use o número mínimo de Flip-Flops (síntese clássica). Indique a codificação de estados escolhida e justifique os passos do projeto. 3.4 Desenhe no simulador Circuit Maker student edition o esquema lógico do circuito que projetou e teste o funcionamento do circuito. 3.5 Faça um esquema elétrico baseado no circuito lógico (tornando visíveis os pinos de entrada, saída e a alimentação (+5V/GND)). Faça uma lista dos CIs necessários para montar o circuito. Para obter a lista de CIs use o ficheiro Trab2.BOM, que é gerado com o comando File\Bill of materials do Circuit Maker. 3.6 Projete o circuito utilizando a codificação One-Hot, i.e., utilizando 1 Flip-Flop por estado. Justifique as opções tomadas. Desenhe e teste o circuito projetado no Circuit Maker.

3 4. Simulação e Montagem (a realizar no laboratório) 4.1 Mostre ao docente ambos os circuitos a funcionar no simulador do Circuito Maker. 4.2 Monte o circuito projetado em 3.3 baseando-se no esquema eléctrico desenhado. Utilize 3 LEDs para visualizar o semáforo dos automóveis e 2 LEDs para visualizar o semáforo dos peões. 4.3 Mostre o circuito ao docente. 5. Pré- Relatório e Relatório O pré-relatório deve conter as secções seguintes, e tem que ser enviado por para o vosso docente de laboratório até pelo menos 1 hora antes do início da aula: 1) Introdução. 2) Descrição de como foram projetados ambos os circuitos. Deve descrever como chegou ao circuito apresentado partindo de uma folha em branco e utilizando os conhecimentos adquiridos sobre síntese de circuitos sequenciais síncronos. Deve incluir todo o tipo de informação que seja relevante (tabelas de verdade, mapas de Karnaugh, justificações, etc.). 3) Resposta à pergunta ) Diagramas lógicos dos circuitos projetados (obtidos através do Circuit Maker). 5) Esquema elétrico do circuito projectado em 3.3 indicando os pinos dos CIs e identificando-os pela ordem por que foram montados na placa (U1, U2, U3, etc). Não esquecer os pinos de alimentação e massa. 6) Lista de componentes usados. Use a funcionalidade File\Bill of materials do Circuit Maker para a obter. O relatório consistirá no pré-relatório mais as secções que se indicam de seguida, e deve ser enviado por até à meia-noite do dia em que se faz o trabalho. 6) Uma secção contendo a descrição de como decorreu o trabalho de laboratório incluindo dificuldades encontradas durante a execução do mesmo, detecção e correção de erros, etc. 7) Conclusões a retirar sobre a realização do trabalho. Atrasos no envio do pré-relatório implicam uma penalização de 50% no valor correspondente à preparação do trabalho (4 valores a menos na nota do trabalho). Atrasos no envio do relatório serão penalizados com 2 valores por cada hora de atraso (após a meia-noite do dia em que o trabalho foi realizado).

4 O pré-relatório e o relatório devem ser enviados em formato.pdf para o correspondente ao vosso docente de laboratório (para um deles, não para os dois!): Prof. João Paulo Carvalho - labsdtp@gmail.com Prof. Francisco Garcia - fmg@isr.ist.utl.pto assunto do deve ter o formato Lab#T$G%, em que: # corresponde ao número do trabalho (neste caso será 3). % corresponde ao número do grupo. $ corresponde ao número do turno de acordo com a seguinte tabela: Turno $ 3ª feira 9:00-10:30 1 3ª feira 14:00-15:30 2 4ª feira 10:00-11:30 3 5ª feira 8:30-10:00 4 5ª feira 11:30-13:00 5 5ª feira 13:30-15:00 6 O nome do ficheiro a enviar deve seguir a mesma convenção, acrescentando um p no final para o pré-relatório, e um r no caso do relatório: Lab#T$G%p Exemplo, relatório do 4º trabalho do grupo 6 do turno de 4ª feira às 10:00: Lab4T3G6r.pdf

5 4) Diagrama lógico do circuito obtido com o Circuit Maker. 5) Diagrama elétrico do circuito indicando os pinos dos CIs e identificando-os pela ordem por que foram montados na placa (U1, U2, U3, etc). Não esquecer os pinos de alimentação e massa. 6) Lista de componentes usados. Use a funcionalidade File\Bill of materials do Circuit Maker para a obter. Anexo: listagem de C.I. existentes no laboratório Flip-flops: Flip-Flops existentes no laboratório: Portas lógicas: Portas lógicas existentes no laboratório:

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 20615/201 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 20615/201 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE An lectiv de 20615/201 Trabalh 5 Circuits Sequenciais Síncrns 1. Intrduçã Este trabalh fi cncebid para que s aluns familiarizem cm a utilizaçã e prjet de Circuits Sequenciais

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando circuitos

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 1.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2013 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 3.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135).

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135). AULA 8 Máquina de Estados Finitos F.S.M. (pg. 32 a 35).. Síntese de sistemas seqüenciais síncronos. Exemplo 3.5: Chave de carro segura. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II A chave de automóvel

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

PLACA DE CIRCUITO IMPRESSO 01 CIRCUITO DE SINALIZAÇÃO E COMANDO

PLACA DE CIRCUITO IMPRESSO 01 CIRCUITO DE SINALIZAÇÃO E COMANDO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA DEPARTAMENTO ACADÊMICO DE ELETRÔNICA ENGENHARIA ELETRÔNICA Projeto Integrador I Iniciação Científica PLACA DE CIRCUITO IMPRESSO 0 CIRCUITO

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Introdução ao Laboratório 1 Objectivos O objectivo do 1 o trabalho de laboratório é efectuar a adaptação ao ambiente

Leia mais

Centro de Educação Profissional da Universidade Estadual de Campinas

Centro de Educação Profissional da Universidade Estadual de Campinas Professor - Romeu Corradi Júnior Centro de Educação Profissional da Universidade Estadual de Campinas Atividades: Resolução de exercícios com alguns comentários (Lista 01-FSM1) 1. Obter as tabelas de transição

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

Guião do trabalho prático TP7

Guião do trabalho prático TP7 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Disciplina Automação Ramos Energia e Automação Guião do trabalho prático TP7 Projecto de Porta Automática baseado em Autómato Programável

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

1 Equacionar e implementar o seguinte dispositivo lógico:

1 Equacionar e implementar o seguinte dispositivo lógico: UDESC/CCT/DEE Eletrônica Digital Lista de Exercícios 1 Equacionar e implementar o seguinte dispositivo lógico: Seu funcionamento consiste no registro de uma senha certa de dois bits e na entrada de uma

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

(LABORATÓRIOS L1 E L2)

(LABORATÓRIOS L1 E L2) TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS (LABORATÓRIOS L1 E L2) 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias,

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

OBJETIVOS MATERIAL UTILIZADO

OBJETIVOS MATERIAL UTILIZADO OBJETIVOS Esta aula prática tem como objetivo apresentar aos alunos as portas lógicas TTL (Transistor-Transistor Logic). Através de montagens eletrônicas simples no protoboard, deverão ser verificados

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Contador Síncrono Binário

Contador Síncrono Binário Trabalho Prático n o 8 Análise e Síntese de Máquinas de Estados Síncronas Contador Síncrono Binário 1 Introdução Este trabalho tem como objectivo: introduzir o conceito de máquinas de estados (máquinas

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray

Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray UFPR Universidade Federal do Paraná Curso de Engenharia Elétrica Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray Daniel Lauer Luciano F. da Rosa Curitiba, junho de 2010

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ EELi02 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ TABELAS DE TRANSIÇÃO DE ESTADOS Q a Q f J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q a Q f D 0 0 0 0 1 1 1 0 0 1 1 1

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

NOME: TURMA

NOME: TURMA Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2000/200) orrecção 2ª chamada 25/Janeiro/200 uração: 2horas, sem consulta.

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias para resolução de

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20. Experiência 4: Síntese e Análise de uma Unidade Lógica Aritmética (ULA)

Divisão de Engenharia Eletrônica Laboratório de ELE-20. Experiência 4: Síntese e Análise de uma Unidade Lógica Aritmética (ULA) Versão original: Prof. Duarte Lopes de Oliveira Versão digital : Maj. Fábio Durante Prof. de Laboratório: Prof. Alexis Tinoco Sala 177 r. 6932 atinoco@ita.br Divisão de Engenharia Eletrônica Laboratório

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Introdução: A capacidade de contar é uma operação fundamental em eletrónica digital. Um contador fornece uma saída binária igual ao número

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00 SISTEMS DIGITIS 5-6 de Novembro de 5, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia Sistemas igitais, 2ª chamada - 5/Jul/2000 (Prova ) Página 1 Universidade do Porto Faculdade de Engenharia Sistemas igitais (1999/2000) 2ª chamada - 5/Julho/2000 uração: 2h 30m, sem consulta. ntes de iniciar

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

Manual de Utilização

Manual de Utilização Manual de Utilizador Perfil Aluno V1 17/06/2015 4450-298 Matosinhos Maputo Página 1 de 20 ÍNDICE 1. Página Pessoal Aluno 4 1.1 Alterar Fotografia 4 1.2 Configuração da Página Pessoal 5 1.3 Conta Corrente

Leia mais

SP 15/09/81 NT 073/81. Control - Um Semáforo Simulado. José Ernesto Lima Gonçalves

SP 15/09/81 NT 073/81. Control - Um Semáforo Simulado. José Ernesto Lima Gonçalves SP 15/09/81 NT 073/81 Control - Um Semáforo Simulado José Ernesto Lima Gonçalves Este trabalho apresenta um simulador para controlador semafórico, baseado em uma calculadora eletrônica avançada. Sendo

Leia mais

SINAIS E SISTEMAS MECATRÓNICOS

SINAIS E SISTEMAS MECATRÓNICOS SINAIS E SISTEMAS MECATRÓNICOS Laboratório #4 1 : Projecto, implementação e validação da cadeia de actuação e medida Mestrado Integrado em Engenharia Mecânica Novembro 2011 ou Dezembro 2011 Realizar na

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007

Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007 s Teóricas Docente: Ana Cristina Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2006/ 2007 Horário: Quinta-feira das 18h00 às 20h00 (sala O106) OT das 17h00 às 18h00 (sala

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Ambientes de Desenvolvimento Avançados (ADAV)

Ambientes de Desenvolvimento Avançados (ADAV) Ambientes de Desenvolvimento Avançados (ADAV) 2004/2005 Trabalho Prático O trabalho prático da disciplina de ADAV consistirá na concepção e desenvolvimento de uma aplicação que simule a gestão de uma oficina

Leia mais

AUTOMAÇÃO INDUSTRIAL

AUTOMAÇÃO INDUSTRIAL AUTOMAÇÃO INDUSTRIAL 3º ano Engenharia Mecânica 2º semestre 2007/2008 Guias de Laboratório 1 ATENÇÃO: LEIA ESTE GUIA ATÉ AO FIM POIS TEM MATÉRIAS QUE INCLUEM OS RESTANTES LABORATÓRIOS. SE TIVER DÚVIDAS

Leia mais