TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

Tamanho: px
Começar a partir da página:

Download "TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC"

Transcrição

1 TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas de estados. Este trabalho é considerado para avaliação de conhecimentos. No início da aula, cada grupo impreterivelmente apresentará a resposta a todas as questões referentes à preparação prévia do enunciado relativo a essa aula e ainda o esquema completo do circuito a implementar. De forma a facilitar a elaboração do laboratório, sugere-se que a preparação esteja já redigida no formato do relatório. Este enunciado diz respeito às sessões de laboratório 5 e 6. A parte 2 do enunciado deve ser preparada e simulada para a sessão 5 do laboratório, a decorrer na semana de 7 a 11 de dezembro (semana I), e a parte 3 deve ser preparada e simulada para a sessão 6 do laboratório, a decorrer na semana de 14 a 18 de dezembro (semana II). O relatório deverá ser revisto e submetido no Fénix até às 23h59m de domingo, dia 20 de dezembro de 2015 em formato ZIP e deverá incluir o relatório (em formato PDF), a descrição dos circuitos e das simulações em VHDL (extensão.vhd) usados na elaboração do trabalho. 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA ECLUSA (SENTIDO ÚNICO SEMANA I) Pretende-se simular a passagem de embarcações que navegam por canais interiores, e devem vencer os desníveis da água através de um sistema de eclusas. Um sistema deste tipo pode ser visualizado em C1 C2 A eclusa é I composta por 3 zonas A, B e C e onde se admite que apenas pode B C estar um barco de cada vez (ver Figura 1). Nesta secção, vamos considerar que os barcos podem transitar apenas no sentido de A A C1 C2 para C: II III IV A C1 C1 Figura 1: Várias fases de passagem pela eclusa B B C2 C2 C C a. Na situação I, ainda não chegou nenhuma embarcação à eclusa. Esta situação corresponde a um estado de espera, onde a comporta C1 está aberta e a C2 está fechada. b. Quando um barco chega à eclusa, avança até à zona B (situação II). Neste momento é acionado um contador que conta T instantes, correspondentes ao fecho da comporta C1, ao tempo de subida do nível de água da zona B, à abertura da comporta C2 e à saída do barco da eclusa. c. Enquanto o contador está a contar, pode acontecer que 1 P á g i n a

2 surjam problemas de entupimentos nas condutas de água. Nesse caso, é acionado um sinal de alarme do exterior e o sistema deve fechar as válvulas (mantendo-se o nível de água na zona B), o que corresponde ao contador parar no instante de contagem onde está enquanto o alarme estiver ativo. Logo que o alarme desligue, o contador deve continuar a contar a partir de onde estava até ao fim. Enquanto o sistema está em situação de alarme, deve acender um led de aviso que pisca a metade da frequência de clock (sugere-se que use um FF tipo T). d. Depois do barco deixar a eclusa (quando termina a contagem de T instantes do ponto b.), e a fim de se esvaziar a zona B de forma a estar preparada para receber um novo barco em A, segue-se de novo a contagem de T instantes, que correspondem ao fecho da comporta C1, abaixamento do nível da água da zona B e à abertura de C2. Novamente deve estar contemplada a situação de alarme de entupimento descrita no ponto c. As entradas da máquina de estados são as seguintes: EA Deteta a presença de um barco à entrada da zona A (simulado por um botão de pressão). Alarm Deteta a presença de entupimento na canalização (simulado por um switch). CNT_end Indica que o contador terminou a contagem. As saídas da máquina de estados são as seguintes: T_start Ativa o contador. Led_alarm Sinal de aviso que pisca quando o alarme de entupimento está ativo. Assuma para T o valor T = K + 9, em que K corresponde ao dígito de menor peso do maior número (em base 5) de aluno do grupo. O sistema global, controlado pela máquina de estados, tem como entradas: clk - clock Reset Coloca o sistema no estado inicial, repondo o contador a zero (simulado por um switch). EA - Deteta a presença de um barco à entrada da zona A (simulado por um botão de pressão). Alarm Deteta a presença de entupimento na canalização (simulado por um switch). e as seguintes saídas: Cnt_out - Saída do contador. Led_alarm - Sinal de aviso que pisca quando o alarme de entupimento está ativo. Maq_est - Estado da máquina de estados. Perguntas relativas à secção 2: 1. Desenhe o diagrama de estados do sistema, que deve corresponder a uma máquina de Moore. Justifique. 2. Codifique cada estado e apresente a tabela de transição de estados e saídas. Justifique. Caso o entenda, pode utilizar uma codificação tipo one-hot (1 flip-flop por estado). 2 P á g i n a

3 3. Desenhe o logigrama da máquina de estados, usando o tipo de flip-flops que entender, de forma a minimizar a complexidade do circuito. 4. Desenhe o logigrama do sistema global. 5. Abra um novo projeto no Xilinx e descreva o sistema em linguagem VHDL. Execute um testbench (também em VHDL) que ilustre corretamente o funcionamento do sistema global. Apresente os diagramas temporais de simulação obtidos, focando as várias situações de interesse e comente-os. Nota: é fornecido na página da cadeira um ficheiro lab5.zip, que inclui, além dos ficheiros necessários para a implementação na placa FPGA, i) o ficheiro ctr_div16.vhd com a descrição VHDL de um contador binário, módulo 16, com carregamento paralelo e clear síncrono (ver acetatos da aula 17, pág. 10), ii) um ficheiro lab5_circuit.vhd apenas com as entradas e as saídas do módulo especificadas e iii) um ficheiro tb_lab5_circuit.vhd com a estrutura base para o testbench. Os alunos devem completar os ficheiros lab5_circuit.vhd e tb_lab5_circuit.vhd de acordo com o seu projeto e testes que pretendam efetuar. Importante: no ficheiro lab5_circuit.vhd estão definidas as variáveis de entrada e saída do circuito, que correspondem às ligações efetuadas nas placas aos switches, botões de pressão, leds e display através do ficheiro sd.vhd (já completamente definido). Não mude o nome nem a dimensão destas variáveis. Em particular a variável Maq_est está definida com 8 bits. Use apenas os bits necessários colocando os restantes de maior peso a zero (por exemplo, se usar apenas 3 bits coloque a zero os valores Maq_est(7 downto 3) e coloque o seu estado em Maq_est(2 downto 0). 6. O circuito deve ser implementado na placa Basys ou Basys2 de acordo com as instruções dadas no ponto SISTEMA DE ECLUSA COM 2 SENTIDOS (SEMANA II) Considere agora um sistema de eclusas que permita a passagem de navios nas duas direções, mantendo-se a situação anterior em que apenas um barco pode estar dentro das zonas A, B ou C da eclusa em cada instante. Pretende-se desenvolver a máquina de estados adaptada a esta nova situação, usando técnicas de microprogramação. Esta máquina de estados controla um temporizador (de forma idêntica ao explicado na secção anterior) mas que conta apenas T=5 instantes de tempo. Para isso, considere o seguinte: Ao contrário do que acontecia na situação anterior, depois de um barco passar pela comporta C2 já não é necessário esvaziar de imediato a zona B (correspondente à passagem da situação III para a situação IV da figura 1), pois pode ser que o barco seguinte venha na direção oposta. Assim, existem agora duas situações possíveis de espera: i) onde a comporta C1 está aberta e a C2 está fechada (correspondente à situação I da figura 1); e ii) onde a comporta C1 está fechada e a C2 está aberta. 3 P á g i n a

4 Para cada um dos casos anteriores, tanto pode chegar um barco na direção A para C ou de C para A, havendo assim no total 4 situações a considerar para o barco atravessar a eclusa: i) chega barco de A para C, C1 está aberta e C2 está fechada; ii) chega barco de A para C, C1 está fechada e C2 está aberta; iii) chega barco de C para A, C1 está aberta e C2 está fechada; e iv) chega barco de C para A, C1 está fechada e C2 está aberta. Considere agora que não se considera a situação de alarme de entupimento do ponto 2. da semana I. Como anteriormente, as subidas e descidas de nível (juntamente com as aberturas e fechos de comportas respetivas) são simuladas por um temporizador que conta agora T=5 instantes de tempo. As entradas da máquina de estados são as seguintes: EA Deteta a presença de um barco à entrada da zona A. EC Deteta a presença de um barco à entrada da zona C. CNT_end Indica que o contador terminou a contagem. Considere adicionalmente um sinal de Reset que inicializa os registos da máquina de estados Nota Importante: EA e EC nunca podem estar ativas simultaneamente. As saídas da máquina de estados são as seguintes: T_start Ativa o contador. O sistema global, controlado pela máquina de estados, tem como entradas: clk - clock Reset Coloca o sistema no estado inicial, repondo o contador a zero. EA - Deteta a presença de um barco à entrada da zona A. EA - Deteta a presença de um barco à entrada da zona C. e as seguintes saídas: Cnt_out - Saída do contador. Maq_est - Estado da máquina de estados. Perguntas relativas à secção 3: 1. Apresente o fluxograma da máquina de estados. Justifique. 2. Codifique cada estado e apresente a tabela de transição de estados e saídas. Justifique. 3. Desenhe o logigrama da máquina de estados microprogramada, e descreva o seu funcionamento. 4. Abra um novo projeto no Xilinx e descreva o circuito em linguagem VHDL. Para a descrição da máquina de estados microprogramada é necessário usar uma ROM, cuja descrição em VHDL é fornecida no ficheiro lab6.zip (que inclui também outros ficheiros de interesse, à semelhança com o que aconteceu na semana I), disponível na página da cadeira. A secção 5 explica como usar a ROM. 4 P á g i n a

5 5. Execute um testbench (também em VHDL) que ilustre corretamente o funcionamento da máquina de estados. Apresente os diagramas temporais de simulação obtidos, e comente-os. Notas importantes para a segunda semana de laboratório: Nesta segunda semana será realizado um teste prático de avaliação dos grupos; Cada grupo deve levar a preparação referente às perguntas anteriores perfeitamente executada, compreendida e simulada; Para a realização do teste prático, será dado a cada grupo um novo fluxograma (ou diagrama de estados), diferente daquele que prepararam em casa; Cada grupo terá de adaptar, durante a aula, a máquina de estados que trouxeram de casa à estrutura microprogramada necessária para implementar este novo fluxograma, modificando o código VHDL e testando-o de forma a confirmar com o docente o bom funcionamento do mesmo. Nesta (segunda) sessão não é necessário gerar o código para implementação na placa FPGA. 5 P á g i n a

6 4. IMPLEMENTAÇÃO DO CIRCUITO PROJETADO Para implementar o circuito projetado nas secções anteriores na placa de prototipagem, foi disponibilizado um conjunto de ficheiros (colocados dentro da pasta lab5.zip fornecida na página da cadeira): Nome do ficheiro sd.vhd Basys.ucf ou Basys2.ucf clkdiv.vhd disp7.vhd debouncer.vhd Descrição Esquema principal. Ficheiro de configuração das portas use o ficheiro correspondente à placa que tem na bancada, Basys (laboratório LSD3) ou Basys2 (Laboratório LSD1). Divisor de Frequência - especificação. Bloco de controlo do display de 7 segmentos - especificação. Elimina a flutuação nos botões de pressão. Não modifique o nome destes ficheiros. A. Adicione ao projeto os ficheiros sd.vhd, Basys.ucf ou Basys2.ucf, clkdiv.vhd, debouncer.vhd e disp7.vhd com Project AddSource. B. Verifique as ligações do circuito projetado com o esquema sd.vhd disponibilizado: O sinal EA deve estar ligado ao botão de pressão btn0. O sinal Reset deve estar ligado ao switch SW7. O sinal Alarm deve estar ligado ao switch SW0. O sinal clk liga-se ao sinal de relógio slow_clock, o qual tem uma frequência de 0,8 Hz. O sinal Cnt_out(3:0) está ligado ao dígito DISP1 do display de 7 segmentos. O sinal Maq_est(7:0) está ligado aos dígitos DISP4 e DISP3 do display de 7 segmento e os 6 bits de menor peso desta variável estão ligados aos leds LED5 a LED0, que são mais fáceis de ler no caso de ser usada a codificação one-hot (1 FF por estado). A escrita nos dígitos DISP4, DISP3 e DISP1 do display de 7 segmentos é ativada através da colocação das entradas aceso4=1, aceso3=1, aceso2=0 e aceso1=1. O sinal Led_alarm deve estar ligado ao led LED7. C. Implemente o circuito na placa de desenvolvimento. Para tal, siga as instruções presentes no Guia de Implementação de Circuitos na Placa de Desenvolvimento. Note que o interruptor da placa deve estar na posição ON (apenas para a placa Baysis2 na placa Baysis o interruptor deve estar no modo USB). D. Verifique o funcionamento do circuito. Mostre-o ao docente. Comente. 6 P á g i n a

7 5. UTILIZAÇÃO DE MEMÓRIAS EM VHDL Para a realização deste trabalho de laboratório será necessário a utilização de memórias RAM e/ou ROM. Para simplificar o trabalho, é fornecido o seguinte ficheiro de memória exemplo: o Memória ROM (ficheiro rom_memory.vhd) Esta memória (fornecida a título de exemplo deverá ser modificada pelos alunos) disponibiliza um modo de leitura assíncrona (sem acesso de escrita), sendo endereçada com um sinal address de 3 bits e possui palavras de 16 bits. Assim, a memória corresponde a uma tabela com 2 3 =8 linhas, cada linha com 16 bits. Para alterar esta memória deverá: i. Alterar as linhas e 24-25, de forma a indicar: O número de bits de endereço da entidade de memória: LINHA 17: address : in STD_LOGIC_VECTOR(2 downto 0); O tamanho da palavra de dados da entidade de memória: LINHA 18: data : out STD_LOGIC_VECTOR(15 downto 0); O número de entradas da memória (0 to 2 número de bits do campo address -1): LINHA 24: type ram_type is array (0 to 7) of std_logic_vector(15 downto 0); O tamanho da palavra de dados da memória (16 bits): LINHA 25: std_logic_vector(15 downto 0); Preencher as linhas 28 a 31, adicionando mais linhas se necessário, de forma a indicar o conteúdo da memória. O formato do ficheiro é: <posição> => <dados>, onde <posição> é um número (em decimal) que indica a posição de memória e <dados> é um numero (em binário), representado entre aspas, que indica o conteúdo da posição de memória. ii. Verificar se o ficheiro, após as alterações, contém algum erro de sintaxe (corrigindo se necessário). 7 P á g i n a

8 ANEXO A ESTRUTURA DO RELATÓRIO SISTEMAS DIGITAIS O relatório deverá ser revisto e submetido no Fénix até às 23h59m de domingo, dia 20 de dezembro de 2015 em formato ZIP e deverá incluir o relatório (em formato PDF), a descrição dos circuitos e das simulações em VHDL (extensão.vhd) usados na elaboração do trabalho. O relatório deverá usar o seguinte conjunto de regras: Páginas: e. Máximo de 10 páginas A4, incluindo uma página de capa com a indicação do turno de laboratório, do nome do docente responsável pelo turno, e do nome e número dos elementos do grupo. f. Páginas numeradas, preferencialmente com cabeçalho, e margens não inferiores a 2cm. g. Letra da família sans-serif (Arial, Verdana, Helvetica, Tahoma, Cambria, Calibri ou Trebuchet MS). Não deverão ser usadas fontes das famílias cursive ou fantasy, excepto para representar símbolos. h. Pode, se desejar, usar uma fonte da família monospace (ex.: Courier) para indicar sinais físicos. i. Tamanho da letra de fácil leitura e nunca inferior a 10pt. Figuras e tabelas: j. As figuras (p. ex.: esquemas) poderão ser feitos num programa de edição de imagens (p. ex.: MS Visio, Omnigraffle, Inkscape,...) ou manuscritas, digitalizadas (com scan ou máquina fotográfica/telemóvel) e inseridas nos espaços correspondentes do relatório. No entanto as figuras deverão estar em estado apresentável (limpas, sem rabiscos ou rascunhos, facilmente percetíveis e com tamanho de letra não inferior à do relatório). k. As figuras deverão ser necessariamente enumeradas, acompanhadas de legenda e ser referenciadas no texto. Submissão do ficheiro no Fénix: l. O relatório deverá ser submetido em formato PDF, usando o conversor do editor de documentos (p. ex. do MS Word) ou através de uma impressora de PDF (p. ex.: PDF995). m. Cabe aos alunos confirmar que o ficheiro foi corretamente submetido no sistema fénix, devendo para isso fazer download do ficheiro submetido e abrir com o Adobe Acrobat Reader. n. A submissão deverá ser feita até às 23h59m de Domingo, dia 20 de Dezembro de 2015, no link correspondente à entrega regular. o. Caso pretendam, os alunos poderão entregar uma nova versão depois do prazo indicado, usando o link correspondente à entrega fora de prazo. Nesse caso sofrerão uma penalização de 2 valores por cada dia de atraso. p. Caso sejam submetidos múltiplos ficheiros, apenas será tomado em consideração o último ficheiro submetido. 8 P á g i n a

9 O não cumprimento das regras será penalizado na nota final do laboratório (ex: penalização de 2 valores por página adicional). O relatório deverá ainda ter a seguinte estrutura: 1. INTRODUÇÃO Breve introdução aos objetivos do trabalho realizado. 2. PROJETO DO CIRCUITO Resposta a todas as questões relativas ao projeto e implementação da máquina de estados da parte 2, incluindo o diagrama de estados, a tabela de transição de estados, e o diagrama lógico do circuito assim como o diagrama lógico referente ao sistema global. Resposta a todas as questões relativas ao projeto e implementação da máquina de estados microprogramada da parte 3, incluindo o fluxograma, a tabela de transição de estados, e o diagrama lógico do circuito assim como o diagrama lógico referente ao sistema global. Nota: Os diagramas lógicos deverão ser anotados com o nome dos sinais usados na descrição dos mesmos em VHDL. 3. FUNCIONAMENTO DO CIRCUITO Descrição das simulações efetuadas para comprovar o funcionamento dos circuitos da parte 2 e 3 incluindo o recorte do resultado da simulação no Xilinx ISE. Enumere e apresente uma legenda para todas as simulações apresentadas. Inclua ainda uma secção relativa ao teste e funcionamento na placa de desenvolvimento (apenas o circuito da parte 2 é testado na placa). 4. CONCLUSÕES Comentário acerca do trabalho realizado e dos resultados obtidos experimentalmente. Adicionalmente está disponível na página da disciplina um template de relatório, o qual inclui ainda algumas notas não relevantes para a avaliação. Recomenda-se que os alunos se baseiem nesse documento, realizando uma análise crítica de forma a eliminarem e/ou acrescentarem informação que achem necessária para o desenvolvimento do trabalho. De notar que a cópia do texto e respetivas justificações presentes no template de relatório corresponde a uma nota de zero valores. Será igualmente dada uma nota de zero valores a grupos com o mesmo trabalho (ex: relatórios iguais). 9 P á g i n a

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 3.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias para resolução de

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

(LABORATÓRIOS L1 E L2)

(LABORATÓRIOS L1 E L2) TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS (LABORATÓRIOS L1 E L2) 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias,

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. DESCRIÇÃO DO CIRCUITO COMBINATÓRIO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando circuitos

Leia mais

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar:

Pretende-se expandir o circuito Cesto de Compras desenvolvido no laboratório L4 de forma a suportar: TRABALHO DE LABORATÓRIO V MÁQUINA DE VENDAS AUTOMÁTICA MULTI-UTILIZADOR 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito que simula o funcionamento de uma máquina de venda

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A.

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A. TRABALHO DE LABORATÓRIO III CIRCUITO EQUCIAI 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projeto e simulação de

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC

1. INTRODUÇÃO 2. ANÁLISE DE UM CIRCUITO DE PROCESSAMENTO DE DADOS SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS VERSÃO 3. INTRODUÇÃO Pretende- se com este trabalho que os alunos analisem e projetem um circuito de processamento de dados constituído por

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA Nome dos alunos Data do laboratório Turno de laboratório (dia da semana e sala) INTRODUÇÃO O objectivo deste trabalho

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 10: Contadores TOCCI, Sistemas Digitais, Sec. 7.1-7.10 http://sites.google.com/site/eletdigi/ Contadores Contadores são formados por FF que acionados

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: Circuito de dados Circuito de controlo Implementação

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Projecto de Sistemas Digitais 2006/2007

Projecto de Sistemas Digitais 2006/2007 Projecto de Sistemas Digitais 2006/2007 Trabalho 2 Especificação final (V1.0, 20-Out-2006) 1 Introdução Pretende-se neste trabalho construir e implementar um sistema básico para inserção de imagens estáticas

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 1.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

Documentos MS Word acessíveis

Documentos MS Word acessíveis Documentos MS Word acessíveis Este guia pretende ajudar na produção de documentos acessíveis em Microsoft Word de âmbito académico, para serem distribuidos em formato eletrónico ou impressos. As boas práticas

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um

Leia mais

Trabalho Prático Nº 8

Trabalho Prático Nº 8 ESTV-ESI-Sistemas Digitais-Trabalho Prático Nº8 /7 Trabalho Prático Nº 8 Projecto de um controlador de semáforos V2 S2 V S S GY R S2 R2 Y2 G2 Especificações funcionais: Controlador de semáforos de um cruzamento

Leia mais

TRABALHO DE LABORATÓRIO 0

TRABALHO DE LABORATÓRIO 0 TRABALHO DE LABORATÓRIO INTRODUÇÃO AO LABORATÓRIO NOTAS IMPORTANTES A TER EM CONTA ANTES DA AULA: Cada grupo deve ler com atenção, imprimir este enunciado e levá-lo para a aula. Em particular, a parte

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais