SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

Tamanho: px
Começar a partir da página:

Download "SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica"

Transcrição

1 LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize a funcionalidade (simplificada) de uma fechadura electrónica de código único. Este trabalho é considerado para avaliação de conhecimentos. No início da aula cada grupo impreterivelmente entregará os elementos de projecto referidos nas Partes 1 e 2. Em caso de erro, estes elementos poderão ser complementados (com penalização na nota) por uma errata elaborada durante a aula. Durante a aula o grupo completará o relatório com os elementos referidos na Parte 3, que entregará no final da aula. O projecto será concretizado utilizando a placa de prototipagem Xilinx. Na parte inicial da aula cada aluno terá de resolver, individualmente e por escrito, questões sobre circuitos sequenciais síncronos. Prepare o trabalho com cuidado e leia todo o enunciado com atenção! PARTE 1: Especificação do Sistema Pretende-se projectar o controlador de uma fechadura electrónica digital. O seu projecto deve seguir as seguintes especificações: O código para abertura da fechadura é um número qualquer entre 0 e 15 (a introduzir através de 4 interruptores). Tem à sua disposição duas entradas de actuação: enter para introdução do número; e fechar para fechar a fechadura. Para abrir a fechadura é necessário introduzir o código correcto e pressionar o botão enter. Para fechar a fechadura é necessário pressionar o botão fechar. Para programar um código novo deve abrir a fechadura, introduzir o novo número e pressionar o botão enter. Nos aspectos omissos da presente especificação, tome as decisões que julgar mais razoáveis e justifique-as. Em qualquer caso opte por soluções simples, mas que sejam plausíveis do ponto de vista do comportamento do sistema. Este sistema pode ser realizando utilizando um registo de 4 bits para armazenar o código da fechadura, um comparador para verificar a correcção do código introduzido, e uma máquina de estados para controlar o seu funcionamento. A figura 1, representa o circuito constituído por 2 dos componentes do sistema: o comparador e o registo (folhas de catálogo em anexo). Explique o seu funcionamento. Figura 1. omparador e Registo 1

2 LEFT, LEA, LEE PARTE 2: Projecto da Máquina de Estados 2.1 O sistema pode ser controlado por uma máquina de estados com 3 entradas: código correcto, enter e fechar; e duas saídas: write enable do registo e fechadura aberta. 2.2 Esboce o esquema global do sistema em termos da máquina de estados e do circuito da figura Para simplificação do projecto, pode considerar a entrada fechar como uma entrada de inicialização da máquina de estados. Pode considerar também que os botões de pressão são sempre pressionados durante o tempo suficiente para a ordem de actuação ser correctamente interpretada pela máquina síncrona. Qual o tempo mínimo necessário para que a pressão do botão seja garantidamente reconhecida pelo sistema? omo evitaria este problema? 2.4 Desenhe um diagrama de estados de uma máquina de Moore que realize a funcionalidade pretendida. Note que a sua máquina de estados deve garantir que não sejam executadas incorrectamente 2 acções consecutivas (p.ex: introduzir código para abrir e programar), se o botão se mantiver pressionado demasiado tempo. Sugestão: garanta que não existem 2 transições de estado consecutivas com o botão enter pressionado. 2.5 Adopte a solução mais simples, esclarecendo com os docentes eventuais falhas de interpretação se o número final de estados for demasiado elevado. 2.6 Projecte o circuito utilizando o mínimo de Flip-Flops D. Indique a codificação de estados escolhida, justificando todas as opções e expressões. Procure minimizar a lógica necessária, tirando partido das portas existentes na biblioteca lógica de projecto (por exemplo, o componente and2b1 realiza uma and com duas entradas onde uma delas se encontra negada). Apresente o logigrama correspondente. 2.7 Projecte o circuito utilizando um Flip-Flop D por estado (codificação one-hot ). Apresente o logigrama correspondente. 2.8 Se não utilizar todos os estados possíveis da máquina projectada, verifique se existem lockouts. Determine o que acontece se a máquina cair num desses estados (não necessita de alterar o projecto em caso de lockout). Será possível retirá-la dessa situação? 2.9 Tendo em conta as máquinas de estados projectadas, escolha a que considerar mais apropriada. Explique quais os critérios que levaram a essa escolha Desenhe o logigrama do sistema completo. 2

3 LEFT, LEA, LEE PARTE 3: Realização do Sistema ATENÇÃO! Para conseguir um aproveitamento eficiente do tempo disponível para montagem e teste durante uma sessão laboratorial, é importante que a estratégia para simulação e demonstração do circuito seja estabelecida antecipadamente. Assim, a preparação prévia do trabalho deve incluir um plano detalhado dos diagramas temporais a visualizar que permitam confirmar as várias transições do diagrama de estados e o funcionamento global do circuito. Durante a aula de laboratório: 3.1 Elabore o esquema correspondente ao seu projecto na ferramenta de desenvolvimento Xilinx e realize simulações lógicas para confirmar que a máquina de estados se comporta como pretendido (Nota: necessita apenas de verificar as transições de estados e as entradas e saídas correspondentes). 3.2 Inclua os blocos de interface entrada/saída e o circuito da figura 1 (ambos disponibilizados), e realize uma demonstração prática na placa de prototipagem Xilinx. As entradas de introdução do código devem ser ligadas a interruptores. As entradas de actuação, Enter e Fechar, devem ser ligadas a botões de pressão. Use 3 displays de 7 segmentos. O display_1 deve indicar o estado da fechadura: aberta = A; fechada = F. Introduza as ligações e a lógica necessárias para obter os valores pretendidos, a partir da sua máquina de estados. Utilize outros 2 displays como auxiliares de verificação do projecto: o display_3 deve mostrar o número a introduzir; o display_4 deve mostrar o código interno da fechadura. Utilize os leds e o 4º display como/se entender. Utilize a interface disponibilizada: Abra o projecto L5sd que está disponível para download na página da cadeira O projecto deve conter os ficheiros: sdl5.sch Esquema principal incluindo a interface e o circuito da figura 1. ddl5.ucf Ficheiro de configuração do chip. clkdiv.vhd e clkdiv.sym Gerador de Relógio. disp7.vhd e disp7.sym Bloco de controlo do display de 7 segmentos. Deve ligar todas as entradas não utilizadas de componentes da interface de saída a Gnd. Para o sinal de relógio dos Flip-Flops use o sinal clk_slow, e para o sinal de relógio do display de 7 segmentos use o sinal clk_disp. Deve ligar a linha shutdown do display de 7 segmentos a V. 3

4 OMP2, 4, 8, 16 R OMP2, 4, 8, 16 2-, 4-, 8-, 16-Bit Identity omparators Architectures Supported OMP2, OMP4, OMP8, OMP16 Spartan-II, Spartan-IIE Spartan-3 Virtex, Virtex-E Virtex-II, Virtex-II Pro, Virtex-II Pro X X9500, X9500XV, X9500XL oolrunner XPLA3 oolrunner-ii oolrunner-iis No A0 A1 B0 B1 A0 A1 A2 A3 B0 B1 B2 B3 OMP2 X4122 OMP4 OMP2, OMP4, OMP8, and OMP16 are, respectively, 2-, 4-, 8-, and 16-bit identity comparators. The equal output () of the OMP2 2-bit, identity comparator is High when the two words A1 A0 and B1 B0 are equal. is high for OMP4 when A3 A0 and B3 B0 are equal; for OMP8, when A7 A0 and B7 B0 are equal; and for OMP16, when A15 A0 and B15 B0 are equal. Equality is determined by a bit comparison of the two words. When any two of the corresponding bits from each word are not the same, the output is Low. X4126 A[7:0] B[7:0] OMP8 X4131 A[15:0] OMP16 B[15:0] X4133 Libraries Guide ISE 6.li

5 FD4E, FD8E, FD16E R FD4E, FD8E, FD16E 4-, 8-, 16-Bit Data Registers with lock Enable and Asynchronous lear Architectures Supported FD4E, FD8E, FD16E Spartan-II, Spartan-IIE Spartan-3 Virtex, Virtex-E Virtex-II, Virtex-II Pro, Virtex-II Pro X X9500, X9500XV, X9500XL oolrunner XPLA3 oolrunner-ii oolrunner-iis No D0 D1 D2 D3 E LR FD4E Q0 Q1 Q2 Q3 X3733 D[7:0] FD8E Q[7:0] E LR D[15:0] E LR FD16E X3850 Q[15:0] X3736 FD4E, FD8E, and FD16E are, respectively, 4-, 8-, and 16-bit data registers with clock enable and asynchronous clear. When clock enable (E) is High and asynchronous clear (LR) is Low, the data on the data inputs (D) is transferred to the corresponding data outputs (Q) during the Low-to-High clock () transition. When LR is High, it overrides all other inputs and resets the data outputs (Q) Low. When E is Low, clock transitions are ignored. The flip-flops are asynchronously cleared, output Low, when power is applied. For X9500/XV/XL, oolrunner XPLA3, and oolrunner-ii, the power-on condition can be simulated by applying a High-level pulse on the PRLD global net. Spartan-II, Spartan-IIE, Spartan-3, Virtex, Virtex-E, Virtex-II, Virtex-II Pro, and Virtex- II Pro X simulate power-on when global set/reset (GSR) is active. GSR defaults to active-high but can be inverted by adding an inverter in front of the GSR input of the STARTUP_SPARTAN2, STARTUP_SPARTAN3, STARTUP_VIRTEX, or STARTUP_VIRTEX2 symbol. Inputs Outputs LR E Dz D0 Qz Q0 1 X X X X X No hg 0 1 Dn Dn z = 3 for FD4E; z = 7 for FD8E; z = 15 for FD16E. Libraries Guide ISE 6.li

4º Trabalho de Laboratório Registos e Contadores

4º Trabalho de Laboratório Registos e Contadores Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais 2010/2011 Instituto Superior Técnico - Universidade Técnica de Lisboa MEEC 4º Trabalho de Laboratório Registos e Contadores

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

NOME: TURMA

NOME: TURMA Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2000/200) orrecção 2ª chamada 25/Janeiro/200 uração: 2horas, sem consulta.

Leia mais

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Trabalho prático de Sistemas Digitais

Trabalho prático de Sistemas Digitais Trabalho prático de Sistemas Digitais (2016/17) Implementar o sistema de controlo de um elevador simples, de acordo com as especificações abaixo. Tem 4 botões de entradas: o andar desejado para mover 0

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

NOME: TURMA. a) Diga, justificando, qual é o número mínimo de bits necessário para representar os valores da tensão.

NOME: TURMA. a) Diga, justificando, qual é o número mínimo de bits necessário para representar os valores da tensão. Sistemas Digitais, recurso 8/Fev/2001 (Prova ) Página 1 Departamento de Engenharia Electrotécnica e de omputadores Sistemas Digitais (2000/2001) Recurso 8/Fevereiro/2001 Duração: 2horas, sem consulta.

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

GESTOR DE ACESSOS COM PIN E TEMPORIZADOR TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador,

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO 2. CIRCUITO COMBINATÓRIO CADEADO DIGITAL SISTEMAS DIGITAIS , MEEC FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias para resolução de

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO 2.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo.

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo. Exame Sistemas igitais - MEE 8/9. [,5 val] onverta (justificando) o número () para: a) Hexadecimal b) ecimal c) {{{ = 5(6) 5 9 = + + + + + = 5 + 8+ 6 + 6 + + = 75 7 () 6 75 7 5 ( ) = {{{ ( ). [,5 val]

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx (Versão

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo Sistemas Digitais Trabalho Prático 6 Controlo de um motor de passo Princípio de funcionamento do motor de passo O motor de passo utilizado é um motor unipolar constituído por 4 bobines (4 fases) que envolvem

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Sistemas Digitais Aula Prática Nº 9

Sistemas Digitais Aula Prática Nº 9 Sistemas Digitais Aula Prática Nº 9 Flip-Flops D: implementação de um contador em anel Grupo: Turma: Elementos do Grupo:. Implementação de um contador em anel Os contadores em anel apresentam um padrão

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia Sistemas igitais, 2ª chamada - 5/Jul/2000 (Prova ) Página 1 Universidade do Porto Faculdade de Engenharia Sistemas igitais (1999/2000) 2ª chamada - 5/Julho/2000 uração: 2h 30m, sem consulta. ntes de iniciar

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

Síntese do datapath. S.D. - controlo e processamento de informação

Síntese do datapath. S.D. - controlo e processamento de informação 146 Síntese do datapath S.D. - controlo e processamento de informação unidade de processamento (datapath) processamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

NOME: CORRECÇÃO TURMA

NOME: CORRECÇÃO TURMA Sistemas igitais, 1ª chamada 26/Junho/21 (Prova ) Página 1 epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2/21) 1ª chamada 26/Junho/21 uração: 2horas, sem consulta. ntes de

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Física Tecnológica Sistemas Digitais Eame de ª Época - 24 de Janeiro de 2 Antes de começar

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório

Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Sistemas Digitais Ano lectivo de 2010/2011 LEIC - TP, LERC, LEE 1 o Trabalho de Laboratório Introdução ao Laboratório 1 Objectivos O objectivo do 1 o trabalho de laboratório é efectuar a adaptação ao ambiente

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2013 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

Contadores ( Counters )

Contadores ( Counters ) ontadores ( ounters ) ircuitos sequenciais que : não dependem de entradas externas (para além do relógio); seguem uma sequência de estados pré-definida (ciclo do contador = nº de estados). plicações ontagem

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

Sistemas Digitais (SD) Contadores

Sistemas Digitais (SD) Contadores Sistemas Digitais (SD) Contadores Aula Anterior Na aula anterior: Registos Registos simples Banco de registos Registos de deslocamento Registos multimodo 2 Planeamento SEMANA TEÓRICA TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais